半導体用語集

出所:ICガイドブック 2009年版 用語解説(アルファベット順、50音順)

ここに記載されている用語は、ICガイドブック 2009年版の本文に関係した主な用語を補足説明したものです。

  • 関連する団体名(略称含む)は、ICガイドブック 2009年版 p.326-327の「関連団体一覧」をご参照下さい
  • パッケージ関係用語は,ICガイドブック 2009年版 パッケージ一覧(第3章,p.106)をご覧下さい
  • 内容によって、ICとLSI、半導体と半導体デバイスを同義語で使っている場合があります
  • ⇒ 印は、参照用語項目を示しています
  • → 印は、関連用語項目を示しています
数字TOP
1xEV-DO

1x evolution data only
第3世代の携帯電話方式「CDMA2000 1x」をさらにデータのパケット通信を高速化して拡張した通信規格。「CDMA2000 1xEV-DO」という。CDG(CDMA DevelopmentGroup)が中心となって開発。

3 次元回路素子

three-dimensional circuit device
平面的なICを立体的に積み重ねた構造の超高集積電子デバイス。通常のIC の上に絶縁層を設け、その上にシリコン単結晶を成長させ、この結晶層に新たなICを形成する。これを何層にも繰り返し、各層間の配線をつなぐ。

3GPP

Third Generation Partnership Project
第3世代(3G)携帯電話システムの仕様検討・作成を行う標準化プロジェクト。1998年12月、アメリカ、ヨーロッパ、日本、韓国といった各国・各地域の通信標準化団体が基になって結成され、後に中国も加わった。

ATOP
AAC

advanced audio coding
映像圧縮規格MPEG-2やMPEG-4 で使われるオーディオ圧縮方式の中の一方式で、BSデジタル放送の音声規格に採用されている。 MP3 の70 %程度のデータ量で音楽CDなみの音質を実現している。
 → MP3、MPEG

A-D 変換器

analog to digital converter
アナログ−デジタル変換器。A-Dコンバータともいう。アナログ信号をデジタル信号に変換する回路。
 → D-A変換器

ADSL

assymmetric digital subscriber line
 ⇒ xDSL

ALU

arithmetic logical unit
算術論理演算回路。マイクロコントローラ(MCU)やマイクロプロセッサ(MPU)を構成する部分の一つで加算、減算などの数値演算およびAND、OR、一致などの論理演算を行う。

API

application programming interface
アプリケーション・プログラムを記述するときに、OSの機能などを呼び出すためのインタフェース。OSで用意された命令や関数の集合またはそれらを利用するためのプログラム上の手続き。
 → OS

ArFエキシマレーザ

argon fluoride excimer laser
 ⇒ エキシマレーザ

ASCP

application specific custom product
ASIC の一つ。特定顧客向けの特定用途IC。カスタムICともいう。これには、フルカスタムICとセミカスタムICがある。後者には、ゲートアレイ、セルベースICなどがある。一般的にASCPをASICと表現するケースが多い。
 → ASIC、ASSP、カスタムIC、ゲートアレイ、セルベースIC

ASIC(エーシック)

application specific integrated circuit
特定用途向けIC。ASIC の定義は多様である。広義のASIC では特定用途向けに専用機能をもつIC(ASCP、ASSP)をすべて含める。大規模なASICをシステムLSIという場合もある。一般には狭義に解釈する場合が多く、ユーザ固有の仕様をもつ専用ICを指し、ゲートアレイやセルベースIC、PLDなどのICが代表的製品である。
 → ASCP、ASSP、PLD、汎用IC

ASSP

application specific standard product
特定用途向け専用標準IC。ASIC の一つ。半導体メーカが主体となり、各応用機器向けに特化して設計・開発がなされ、標準ICとして、複数ユーザを対象として出荷されるIC。
 → ASCP、ASIC、PLD、汎用IC

ATPG

automatic test pattern generation
 ⇒ 自動テストパターン生成

ATRAC

adaptive transform acoustic coding
MD(ミニディスク)などに採用されている音声圧縮技術。データ量を1/5程度に圧縮するので、記憶容量が約140MバイトのMDでCD1枚分の楽曲を記録することができる。その後、圧縮・再生方式に改良が加えられている。
 → MD

BTOP
B/Bレシオ

book-to-bill ratio
出荷金額に対する受注金額の比率(B/B 比)をいう。

BD

Blu-ray Disc
「ブルーレイディスク」の略称。記録密度を上げるために、発振波長の短い(405nm:青紫色)の半導体レーザを用い、レンズの開口率を上げることで、DVDの5倍以上の記録容量(1層25Gバイト、2層で50Gバイト)を実現している。大きさ(直径12cm、厚さ1.2mm)はCDやDVDと共通である。多くのBlu-ray Disc対応機器(レコーダ、プレーヤ)は、ピックアップが3波長化されており、CDやDVDが再生(または記録)できる。1層のディスク(25Gバイト)で、地上デジタル放送(1440×1080i、 16.8Mビット/秒)クラスなら3時間強、BSデジタル放送(1920×1080i、 24Mビット/秒)クラスで2時間強のハイビジョン映像を収録することが可能となった。
 → DVD

BGA

ball grid array
表面実装型パッケージの一つ。プリント配線基板に表面実装できるように、パッケージ本体のベース(底)面に金属ボールまたは金属バンプを一定の間隔で格子状に並べて外部端子としたパッケージ。
 → SMT、バンプ

Bi-CMOS(バイシーモス)

bipolar complementary metal-oxidesemiconductor(
CMOSとバイポーラトランジスタの長所を組み合わせた半導体デバイス。CMOSは低消費電力、高集積に有利だが、出力電流が小さい。一方バイポーラトランジスタは動作速度が速いが、消費電力が大きい。そこで、デジタル信号処理を行う大規模な論理回路にCMOS技術を、高速または大出力が必要な部分にバイポーラ技術を使ったIC。
 → ミックスドシグナル、アナデジIC

BIST(ビスト)

built-in self-test
組み込み自己テストともいう。IC内部にテストを行う機能を取り込んでいるため、高速・多ピンICの検査が容易になる。
 → テスト容易化設計

Bluetooth(ブルートゥース)

Bluetooth
2.4GHz帯のISM(Industrial Scientific and Medical)バンドを使う携帯情報機器向けの短距離無線技術。双方向で最大伝送速度はVer.1.2が1Mビット/秒、Ver.2.0+EDR では3Mビット/秒になる。通信距離は、クラスによって異なり、1、10、100m(最大値)のものがある。スペクトラム拡散通信の周波数ホッピング方式(SS-FH)を使う。携帯電話機のハンズフリー用などに使われている。
 → ISM、 EDR

BOPS(ボップス)

billion operation per second
デジタル信号処理を行うプロセッサなどの性能指標に用いられる単位。1 秒間に実行できる処理の基本単位の数を示す。billion= 10億。
 → MIPS、MOPS

CTOP
C 言語

C language
広く普及しているコンピュータ用プログラミング言語の一つ。1973年に米AT&T社ベル電話研究所がUNIX(OSの一種)を記述するために開発した。ICの設計にも採用され、最近ではC++が普及している。

CAD(キャド)

computer aided design
コンピュータを利用した設計システム。ICの最適設計をコンピュータの支援によって効率よく進める技術をいう場合は、EDA( Electronic DesignAutomation)ともいう。
 → CAE、CAM、EDA

CAE

computer aided engineering
コンピュータの支援によって設計を行う手法、技術。CADとほぼ同一の意味で使用される。
 → CAD、CAM、EDA

CAM(キャム)

computer aided manufacturing
コンピュータの支援によってシステム(機器)製造の生産性・信頼性を高める自動化技術。CADによって数値化されたマスタデータとテストデータによって製造におけるプロセスデータを設定し実行させる。CADとCAM はお互いに密接な関連があり、設計から製造まで一貫したコンピュータ利用システムとする場合にはCAD/CAMという。
 → CAD、CAE、EDA

CAN(キャン)

controller area network
車内LANの標準インタフェース規格の一つ。耐ノイズ特性を意図して設計され、エンジンの回転数、ウィンドウのスイッチなどの制御情報に使用されている。伝送速度は最大1Mビット/秒。
 → FlexRay、IDB1394、LIN、MOST

CAS(キャス)

conditional access system
有料放送サービスに用いられる限定視聴制御方式。視聴するためには、資格を得る必要があり、それを証明するもの。日本では 衛星デジタル放送(BS/CS)、地上デジタル放送(ワンセグを除く)などで使用される「B-CASカード」やCATVで使用される「C-CASカード」などがある。

CB-IC

cell based integrated circuit
 ⇒ ASIC、セルベースIC

CCD

charge coupled device
電荷結合素子。シリコン基板表面の酸化膜上に多数の伝送電極が配列されたMOS構造のデバイスで、自己走査機能と記憶機能をもつ。フォトダイオードと組み合わせたエリアセンサ(固体撮像素子)、リニアセンサおよび信号遅延素子などの製品がある。
 → CMOSイメージセンサ

CDMA

code division multiple access
符号分割多元接続。複数の音声信号ごとに符号を乗算し一つの周波数で送るため、従来の携帯電話の多重化方式であるFDMA(周波数分割多元接続)やTDMA(時分割多元接続)と比べ、周波数帯域の利用効率が高い。第3世代携帯電話の標準方式。
 → FDMA、TDMA、W-CDMA

cdma2000

cdma2000
米QUALCOMM社などを中心とするCDG(CDMA Development Group)が開発した第3 世代の携帯電話の通信方式。「cdmaOne」(IS-95)の後継である。
 → CDMA、IMT-2000

CISC(シスク)

complex instruction set computer
複合命令セットコンピュータ。ソフトウェア作成を簡単化するために多種多様な処理に対する命令セットを多くもち、機能や命令をハードウェアで実行させるようにしたコンピュータ(MPU)。一方,複雑な命令のため命令実行速度の向上が阻害される面もある。パソコンなどの汎用MPU の主流となっている。
 → RISC、VLIW

CMOS(シーモス)

complementary metal-oxide-semiconductor
相補型MOSともいう。nMOS FETとpMOS FETの両方を対にして相補型回路を構成したMOS デバイス。低消費電力で動作電圧範囲が広く対雑音特性にも優れている。現在、IC のほとんどがCMOSとなっている。

CMOS標準ロジック

CMOS standard logic
TTL(Transistor Transistor Logic)と同じように品種も豊富で広く使用されているCMOS のロジックICファミリ。この汎用ファミリには、NANDやNORのようなゲート、フリップフロップなどのほか、バイポーラ高速ロジックICに匹敵するような高速CMOS標準ロジックが商品化されている。

CMOSイメージセンサ

CMOS image sensor
CMOS(相補性MOS)を用いた固体撮像素子。CCDイメージセンサ(撮像素子)と同様に、フォトダイオード(PD)を使用する。製造プロセスと信号の読み出し方法が異なる。単位セルごとに増幅器をもつことで、光変換された電気信号の読み出しによる電気ノイズの発生が抑えられる。CMOSロジックICのI製造プロセスの応用で大量生産が可能なため、高電圧アナログ回路をもつCCDと比較して安価であり、素子も小さくでき、消費電力も少ない。原理的にスミアやブルーミングが発生しないという長所がある。
 → CCD

CMP

chemical mechanical polishing
化学的機械的研磨。ウェハ表面の平坦化、プラズマエッチングやRIE(Reactive Ion Etching)などの材料除去プロセス、また銅のデュアルダマシン法による配線形成などに使われる。物理的な研磨だけによるシリコンウェハへの損傷を低減するために化学的反応も用いる。平坦化技術という意味でChemical Mechanical Planarizationという場合もある。
 → RIE、ダマシン法、平坦化技術

CODEC

coder decoder
 ⇒ コーデック

COP

crystal originated particle
シリコン結晶欠陥の一つ。シリコン単結晶で格子点にシリコン原子がない個所、すなわち「空孔」が集まった微小な欠陥。微細化の進展にともない、完全結晶の必要性が求められている。

CPU

central processing unit
中央演算処理装置。マイクロプロセッサ(MPU)やマイクロコントローラ(MCU)の中枢部分で、プログラムメモリ(ROM)から命令を読み出し、その命令に従ってデータ伝送や算術・論理演算などを実行する。データや命令、ステータスなどをストアするレジスタ、プログラムカウンタやスタックポインタなどの専用レジスタ、命令デコーダや演算を実行するALU、アキュムレータなどからなる。
 → MCU、MPU

CPUコア

CPU core
加算や乗算などの基本演算を実行する装置であるCPU(central processing unit)と複数の周辺モジュールを集積したモジュールのこと。マイクロプロセッサ内部の一つのモジュールとしてCPUコアと呼ぶ場合が多い。
 → モジュール

CS

commercial sample
 ⇒ コマーシャルサンプル
 → ES

CSP

chip scale package、 chip size package
半導体チップサイズとほぼ同等の外形サイズのパッケージ。携帯機器など電子機器の小型軽量化を実現する。CSP にはワイヤボンド型CSP、セラミック型CSP、スルーホール型CSP、μBGA型CSPなどがある。いずれも外部電極を底面にグリッド(格子)状に配置し、外形サイズを極力チップサイズに近づけるような構造になっている。
 → TAB

CVD

chemical vapor deposition
化学的気相成長法。ウェハ上に薄膜を形成する方法の一つ。配線として用いる多結晶シリコン、表面保護膜や絶縁膜として用いる酸化シリコン、窒化シリコン、PSG(Phospho-Silicate Glass:リン化酸化膜ガラス)など、形成したい薄膜の構成元素をもった気体をウェハ上に流し、その表面で化学反応を起こさせて薄膜を形成する。@エネルギー源(熱CVD 法、光CVD 法、プラズマCVD 法)、A成膜圧力(常圧CVD:AP-CVD、減圧CVD:LP-CVD)、B反応方式(有機金属化学気相成長法:MO-CVD)などに分類できる。
 → PVD、気相成長

DTOP
D-A 変換器

digital to analog converter
デジタル−アナログ変換器。D-Aコンバータともいう。デジタル信号をアナログ信号に変換する回路。
 → A-D変換器

DC-DC電源

DC-DC Power Supply
バッテリなどの直流電源から異なる直流電圧を発生する電源。ある直流電圧を高周波の交流に変換し、それをふたたび異なる電圧の直流に変換(DC-DCコンバータ)する。

DDR-SDRAM

double-data-rate synchronous dynamic random access memory
ダブルデータレート(DDR)モードという高速なデータ転送機能をもったSDRAM。同期を取るためのクロック信号の立ち上がり時と立ち下がり時の両方でデータの読み書きが行なえるようにしたもの。通常の倍の転送速度が実現できる。
 → DRAM

DFM

design for manufacturability
製造容易化設計。設計の段階から、製造プロセスで生じる問題点を把握し、これを考慮して設計する考え方。半導体製造プロセスで生じる問題を設計の段階で事前に解決すること。

DFT

design for testability
 ⇒ テスト容易化設計

DIMM(ディム)

dual in-line memory module
DRAMなどを複数個、基板に実装したJEITA(旧JEDEC)規格のメモリモジュール。64ビット単位でデータの読み書きを行うため端子の数が多い。SIMM(Single Inline Memory Module)が表と裏の端子が結線されているのに対し、DIMMはそれぞれが独立している。
 → SIMM

DIP

dual in-line package
ICパッケージの一つ。リード線がパッケージの対向する両側面から出ており、L 字型に曲げられ、下に真直ぐ伸びている。基板挿入型の実装に用いる。

DMA

direct memory access
システムのCPUを介すことなく、デバイスとメモリ、メモリとメモリ間のデータ転送を行なう方法。

DNAコンピュータ

deoxyribonucleic acid computer
遺伝子であるDNA(デオキシリボ核酸)の特性を生かしたコンピュータ。ビット列を順番に読んで演算するのではなく、生化学反応を利用して複雑な計算を効率的に演算処理する。

DRAM(ディーラム)

dynamic random access memory
揮発性メモリの一つ。記憶保持動作が必要な随時書き込み読み出しメモリ。メモリセルはトランジスタ1 個とキャパシタ(コンデンサ)1 個で構成され、情報の記憶はキャパシタに蓄えた電荷の有無によって行う。この電荷はリーク(漏れ)電流によって時間の経過とともに消えるため、一定時間ごとに情報を読み出して再度書き込みを行う必要がある(リフレッシュ動作)。電源を切ると記憶情報は消滅する。一般的な汎用メモリとして、広範囲に利用されている。
 → SRAM

DRC

design rule check
マスクデータを検証するEDAソフトウェア。マスク設計基準(design rule)に対して、マスクレイアウト(マスクデータ)が違反、あるいは好ましくない個所の検出や確認に使用される。
 → EDA、設計基準

DRM

digital rights management
 ⇒ デジタル著作権管理

DSL

digital subscriber line
デジタル加入者線。  ⇒ xDSL

DSP

digital signal processor
乗算器を内蔵し、積和演算機能をもつデジタル信号処理専用の1 チップマイクロプロセッサ。浮動小数点演算が可能であり、音声デジタル信号処理や動画像処理などに適している。
 → 浮動小数点

DSRC

dedicated short range communication
専用狭域通信。ITS( Intelligent TransportSystem)では、この通信方式を用いて、路側に設置された無線装置(路側機)と、車両に搭載された無線装置(車載器)との間で無線通信を行う。ETC(Electronic Toll Collection)はITSの一種。
 → ITS

DUT

device under test、design under test
一般的にはテストされるIC(device)を指す。この被試験ICを装着し、試験に必要な信号を伝達するボード類をDUTボードと総称する。また、回路検証においては検証対象となる回路(design)を指すこともある。

DVD

digital versatile disc
デジタルデータの記録媒体である光ディスクの一種。形状やデータの記録・読み取り方式はCD(コンパクトディスク)とほぼ同じだが、記録容量ははるかに大きく、CDでは不可能だった長時間映像の記録ができることが特徴である。ビデオテープに並ぶ映像記録の主要メディアに位置づけられており、映画やドキュメンタリー、ドラマなどさまざまな映像ソフトが市販されている。 →BD

ETOP
EB 露光

electron beam exposure
 ⇒ 電子ビーム露光

EC

electronic commerce
電子商取引。ネットワーク上の商取引のため、安全性の確保が非常に重要である。

ECA

embedded cell array
エンベデッドセルアレイ
 → ASIC

ECALS

electoronic computer aided acquisition and logistics support
半導体や電子部品の電子商取引を目指すための標準化活動および標準。2005 年にECALS委員会とEDIセンターが統合し、「JEITA ECセンター」となった。「Electronic Commerce Alliance LogisticsSupport」と表記されることもある。データ形式はXML/EDIの標準仕様「ebXML」に準拠している。
 → EDI

Echonet(エコーネット)

energy conservation and homecare network
「Energy Conservation」(エネルギー節約)と「Homecare(在宅介護) Network」 の頭文字をとったもの。「エコーネットコンソーシアム」が提唱する家電機器の制御を行うための宅内ネットワーク規格。電力線、赤外線、Bluetooth、イーサネットなどの媒体が利用でき、通信回線と繋がったゲートウエイを介して住宅外からの機器制御が可能となる。
 → Bluetooth、イーサネット

ECL

emitter coupled logic
CML(Current Mode Logic)ともいう。バイポーラロジックIC の一つ。トランジスタを非飽和領域で動作させるので非常に高速な論理動作が可能であるが、消費電力が大きい。大型コンピュータの論理演算部や高速メモリに使用される。

EDA

electronic design automation
電子回路設計用のCAD(Computer Aided Design)。論理シミュレーション、回路解析、デバイス解析、配置・配線設計、マスクパターン作成、テストパターン作成などの各ステップでEDAツールが使われる。システムLSI(SoC)のような大規模、複雑なICの開発には欠くことができないツール(ソフトウェア)である。
 → CAD、CAE

EDI

electronic data interchange
企業間電子データ交換。企業間で受発注や請求・支払いなどの商取引データを、ネットワークを介してコンピュータ間で直接やり取りをするための標準規約。米国の運輸データ調整委員会が標準化したデータ交換の規約。
 → ECALS

EDIF

electronic design interchange format
IC設計の各設計工程結果を表現する標準言語。EIA(Electronic Industries Association)の責任の下に作成されており、ICの回路図、ネットリスト、テストパターンなどを表現する。
 → ネットリスト

EDO

extended data out
DRAM のデータ入出力を高速にするメモリ動作モードの一つ。古くはページモード、スタティックコラムモードなどがある。4M 〜 16MビットDRAM でEDO モードが採用され、100M 〜 200M バイト/秒のデータ伝送速度を実現した。16MビットDRAMからはシンクロナスDRAM(SDRAM)が採用され、データ伝送速度200M 〜 600M バイト/秒を実現した。64MビットDRAM ではさらに高速なDRAMとしてSDRAM やラムバスDRAMなどが登場した。
 → ラムバスDRAM

EDR

enhanced data rate
BluetoothのVer.2.0から採用されたデータ通信速度拡張の方式。EDRでは、変調方式(GFSK、π/4DQPSK、8DPSK)を切り替えることによって、データ通信速度を向上させている。
 → Bluetooth

EEPROM(イーイーピーロム)

electrically erasable programmable read only memory
E2PROM(イースクェアピーロム)ともいう。電気的に記憶内容の書き込みや消去が可能な不揮発性メモリ。消去のために紫外線が必要なUV-EPROMと異なり、ボードに実装したまま書き換えができるので使いやすいのが特徴である。
 → EPROM、フラッシュメモリ

EL

electroluminescence
 ⇒ エレクトロルミネッセンス

EMC

electromagnetic compatibility
電磁環境適合性。電子機器が雑音を発生して他の機器に妨害を与えたり、逆に他の機器による雑音のために誤動作したりしないこと。他を妨害するものがEM I(電磁妨害)であり、外部雑音に対する強さがイミュニティ(耐雑音障害性)である。
 → EM I

EMI

electromagnetic interference
電磁妨害または電磁干渉。電子回路から導線を伝わる信号が電磁波となって空中に飛び出したり、電源ラインや接地ラインに信号が漏れたりする。これが他の電子回路に侵入して誤動作や障害を起こすこと。電子機器の性能に障害を与える電磁エネルギーのことをいう場合もある。
 → EMC

EMS

electronics manufacturing service
電子機器の製造を請負うサービス。

EOT

equivalent oxide thickness
酸化膜換算膜厚。実際の物理的な膜厚を、SiO2の酸化膜厚に換算した値のこと。例えば、絶縁膜としてSiO2よりも誘電率の高い膜(High-k膜)を使うと、物理的な膜厚が大きくでき、リーク電流を減らすことができる。一方、その膜厚をSiO2に換算すると、微細化のスケーリング則に応じた薄膜化を実現したことになる。
 → SiO2、High-k、スケーリング則

EPG

electronic program guide
電子番組表。電子番組ガイドともいう。映像信号に番組表データを重畳し、テレビの画面に番組表を表示する方式と、テレビにつないだインターネット端末を利用する方式とがある。

EPROM(イーピーロム)

erasable and programmable read only memory
記憶内容が電気的に書き込み可能で、紫外線を当てて消去が可能な不揮発性メモリ。紫外線を照射するために、ICパッケージには石英ガラスの窓がある。記憶の消去は全ビット一括。窓のない通常パッケージに実装したものをOTPROM(One Time PROM)という。
 → EEPROM

ES

engineering sample
 ⇒ エンジニアリングサンプル
 → CS

ESL

electronic system level
電子機器システムの動作を表現し設計するレベルのこと。RTLよりも抽象度の高いレベルを意味し、C/C++などの高級言語を使って設計する。通常ESL設計とかESLツールという形で使われる。
 → RTL

ETC

electronic toll collection system
国土交通省が推進する高度道路交通システム(ITS)の一種、ノンストップ自動料金収受システム。
 → DSRC、ITS

EU Reach規則

Registration, Evaluation, Authorisation and Restriction of Chemicals
2006年12月18日に欧州閣僚理事会での採択を受け、2007年6月1日に施行された(2008年12月1日で予備登録は終了)。EU内で年間1トン以上生産または輸入される化学物質は設定された期間内に化学品庁(ECHA)へ登録されることが必要となる(No Data No Market)。半導体は成形品であり、また意図的に放出される化学物質を含まないことから登録の義務はない。しかし、高懸念物質(SVHC)の含有状況によって、情報伝達や当局への届出の義務があり、サプライチェーン内での円滑な情報交換が求められている。

EUV

extreme ultra violet
極端紫外線。光の中で紫より短い波長の光を紫外線と呼ぶ。大気によって吸収されはじめる波長200nmから0.2nmの波長域を真空紫外(VUV)域、透明な固体結晶がなくなる105nm以下の波長域を極端紫外(EUV)域と呼ぶ。0.2 〜 30nmは軟X 線域とも呼ぶ。EUVリソグラフィは、波長13nm の極端紫外線を用いて縮小投影露光を行う。
 → リソグラフィ

EV

electric vehicle
 ⇒電気自動車

FTOP
F2エキシマレーザ

fluorine excimer laser
 ⇒ エキシマレーザ

FA

factory automation
コンピュータ制御技術を用いて工場を自動化する総称的な概念。また自動化に使われる機器のことをいう。

FCV

fuel cell vehicle
 ⇒ 燃料電池自動車

FDMA

frequency division multiple-access
周波数分割多元接続。各周波数帯域を各局(またはチャネル)ごとに割り当てて、複数の局が同時に通信する方式。
 → CDMA、TDMA

FeliCa(フェリカ)

FeliCa
「Felicity」と「Card」を組み合わせた造語(登録商標)。非接触型ICカードのための通信技術として開発された。電源はリーダライタからの電波を電磁誘導によって得る。信号の変調方式はASK(10%)で、マンチェスタ(Manchester)符号を採用している。
 → ICカード

FeRAM (FRAM)(エフイーラム)

ferroelectric random access memory
FRAMともいう。強誘電体メモリまたは強誘電体不揮発性メモリ。電界を加えなくても電荷が残る(自発分極)性質をもつ強誘電体をメモリセルに利用した不揮発性RAM。電源を切ってもデータ内容を保持できる。EEPROMと比較してデータの書き換え時間は短く、書き換え可能回数も桁違いに多い。

FIB

focused ion beam
集束イオンビーム。イオンビームと試料の相互作用によって顕微鏡、加工(研磨)、堆積(デポジション)、イオン注入などの機能を実現する。イオン源にはガリウム(Ga)などがある。たとえば、ビーム径を5 〜 10nmに集束させた装置は、フォトマスクのパターン修復やIC の不良個所の解析、高分解能観察、MEMSなどの微細加工などに利用されている。
 → MEMS、イオン打ち込み、イオンビーム

FIFO(ファイフォ)

first-in first-out
先入れ先出し方式のこと。記憶装置などからデータを取り出すとき、先に入れた情報から取り出す方式。これと反対の方式をLIFO(Last-in First-out)という。

FIT(フィット)

failure in time
信頼性を表す尺度。故障率の単位。1FITは、1時間当たり10億個に1個の故障発生率、または1万時間(約1年間)当たり10万個に1個の故障発生率に相当する。1FIT=10−9(件/時間)。

FlexRay

FlexRay
制御系車内LANインタフェース規格。柔軟性があり、信頼性が高く、主に自動車の走行制御に直接関与するシステムに向けた次世代X-by-Wireシステム用の車載LANプロトコルとして開発されている。「FlexRay Consortium」が規格を策定している。データ伝送速度は最大10Mビット/秒。
 → CAN、LIN、MOST

FLOPS(フロップス)

floating-point operations per second
1秒間に処理できる浮動小数点演算回数を示す単位。通常は100万回単位(MFLOPS)で示す。10億回(GFLOPS)を単位にすることもある。
 → BOPS、MIPS、MOPS、浮動小数点

FOUP(フープ)

front opening unified pod
ウェハカセットの搬送・保管用のケース(ポッド)。「局所クリーン化技術」の一つ。SMIFがポッド内のウェハカセットの出し入れを上下に行うのに対して、FOUPは前後に出し入れする。
 → SMIF、クリーンルーム

FPD

flat panel display
薄型の表示素子構造をもつディスプレイのこと。主なものに、液晶型とプラズマ型がある。有機EL型と電子ペーパーの開発が活発化している。
 →液晶、PDP、有機EL

FPGA

field programmable gate array
PLD(Programmable Logic Device)の一つ。ユーザが手元でプログラム可能なセミカスタムIC。CPUやDSP、無線アクセス用のトランシーバを内蔵した製品などもある。開発期間が短くできるので、試作品だけでなく、最終製品にも利用されている。プログラム可能な論理モジュールを規則的に並べ、その間を結線(プログラム)することで論理回路を実現する。プログラムの方法は、SRAMセル方式、EPROM方式、アンチフューズ(導通フューズ)方式、フラッシュEEPROM内蔵方式がある。
 → PLD

FTA

Free Trade Agreemnt
2国間または地域間で自由貿易を行い、域内の貿易を活性化させることを目的とした協定で、WTOを補完するものとされる。

FTTH

fiber to the home
光ファイバによる家庭向けのデータ通信サービス。現在、数十〜 1Gビット/秒程度の高速アクセスサービスが実現されている。

GTOP
g 線ステッパ

g - line stepper
 ⇒ ステッパ
 → リソグラフィ

GaAs IC

Gallium Arsenide integrated circuit
ガリウムひ素(GaAs)単結晶を基板としたIC。GaAsは、V-X族化合物半導体の代表的な材料で、結晶内の電子の動き(移動度:Mobility)がシリコン(Si)に比べて5 〜 6 倍も速く、超高速・超高周波デバイスに適している。

GaN

Gallium nitride
窒化ガリウム。Siと比較して破壊電界強度が約10倍、飽和ドリフト速度が2倍以上となり、高耐圧化、低損失化、高周波動作などの面で物理的に従来のSiよりも優れる性質をもつ。

GATT

General Agreement on Tariff and Traide
1948年に発足した関税と貿易に関する一般協定。各国政府による交渉によって、関税などの貿易障壁を削減、撤去する国際協定。

GMR

giant magnetoresistance
巨大磁気抵抗効果。HDD ヘッドに広く使われている。磁場をかけたときの電気抵抗変化(磁気抵抗効果:MR)が従来の単体物質に比べて大きく変化することから命名された。基本構造は強磁性体―常磁性金属―強磁性体の多層膜。
 → MRAM、TMR

GPS

global positioning system
全地球測位システム。地球上の現在位置を調べるための衛星測位システム

GSM

global system for mobile communication
ETSI (European Telecommunications StandardInstitute:欧州電気通信標準化協会)が標準化したTDMA(時分割多元接続)方式のデジタルセルラー電話システム(第2 世代方式)。国際ローミング機能やSIM(subscriber identity module)カードを導入している。アジアなどのヨーロッパ以外の地域でも普及が進んでいる。

GUI

graphical user interface
文字やテキスト情報だけでなく、図やイメージをなどを利用してユーザの操作性を向上させるインタフェースのこと。

HTOP
HAVi (ハヴィ)

home audio/video interoperability
家庭内ネットワークに接続するAV 機器のミドルウェア仕様。ネットワークにはIEEE1394が使われる。
 → IEEE1394

HBT

heterojunction bipolar transistor
SiGeとSi、GaAsとAlGaAsなど異種の半導体接合(へテロ接合)を利用したバイポーラ・トランジスタの素子構造の一つ。電流増幅率を落とさずに動作速度を向上することができるため、携帯電話機や無線LANのパワー・アンプなどに用いられる。

HDCP

high-bandwidth digital content protection system
著作権保護技術の一つ。ハイビジョン映像等伝送するためのDVIやHDMIのデジタルインタフェースに用いられる。伝送信号を暗号化することで保護する。Digital Content Protection LCCがライセンス管理している。
 → HDMI

HDL

hardware description language
 ⇒ ハードウェア記述言語

HDMI

high definition multimedia interface
家電やAV 機器向けのデジタル映像/音声入出力インタフェース規格。2002年12月に策定された。パソコンとディスプレイの接続に使われるDVI(Digital Visual Interface)を発展させた規格。シリアル伝送方式TMDS(Transition Minimized Differential Signaling)を採用しており、1 本のケーブルで映像・音声・制御信号を合わせて送受信する。

HDTV

high definition television
高精細テレビ。「ハイビジョン」ともいう。現在のテレビ(NTSC 方式など)よりも走査線の数を増やして画質を向上させたテレビ方式の総称。NTSC方式は走査線が525 本、画面の縦横比が3対4であるのに対して、HDTV では走査線数が1125 本または1250 本、画面の縦横比は9対16の横長のサイズである。

HEMT(ヘムト)

high electron mobility transistor
高電子移動度トランジスタ。ガリウムひ素(GaAs)などの化合物半導体をヘテロ接合(2種類の異なった半導体材料の接合)し、その接合面を電子が高速移動する性質を利用した超高速トランジスタ。シリコン(Si)を使った半導体よりはるかに動作速度が速い。衛星放送受信機、携帯電話などの高周波増幅素子などに使われる。

HEV

hybrid electric vehicle
ハイブリッド自動車のこと。エンジンとモータの2つの動力源をもち、それぞれの利点を組み合わせて駆動することで、低燃費(省エネルギーと低公害)を実現する。

High-k

high-dielectric-constant film
高誘電率膜。SiO2などに比べて非常に大きい誘電率をもつ誘電体薄膜。微細化が進むIC の小型キャパシタやメモリセルの材料として開発が進んでいる。ハフニウム(Hf)系材料などがある。

HSDPA

high speed downlink packet access
 ⇒ 3GPP

HTPS

high temperature poly-silicon
高温で作成した多結晶シリコン。TFT(Thin FilmTransistor:薄膜トランジスタ)を形成するシリコン薄膜を、比較的高温で多結晶化することで、電子の移動度(モビリティ)を高めることができる。プロジェクタに用いられるアクティブマトリクス駆動方式の透過型LCD(液晶ディスプレイ)に採用されている。
 → LTPS、TFT

ITOP
i 線ステッパ

i - line stepper
 ⇒ ステッパ
 → リソグラフィ

IBIS(アイビス)

input output buffer information specification
半導体デバイスの入出力バッファの電気的特性を、パッケージの電気的特性を含めてモデル化したもの。基板設計のためのシミュレーションモデル。バッファ回路をモデル化し、その動作特性をテーブルで表現している。個別の回路素子の特性と素子間の接続を表現するSPICEに比べて、高速に解析ができる。
 → SPICE

ICE(アイス)

in-circuit emulator
マイコン(MPU)を搭載したシステム(機器)の開発時に、ソフトウェアのデバッグとハードウェアの動作確認を行う装置。MPUの機能をホストコンピュータからエミュレート(模倣動作)することでデバックを行なう。
 → エミュレーション

ICカード

IC(integrated circuit)card
ICを埋め込んだカード。電気的な接点をもつ接触型と、接点のない非接触型とがある。
 → ISO/IEC7816、 ISO/IEC14443

IDB1394

1394-Automotive
IEEE1394を車載機器間のネットワークに転用した情報系ネットワーク・プロトコル規格。自動車内で映像や音声などのマルチメディアデータを高速に伝送する。「IDB Forum」(ITS Data Bus Forum)と「1394 Trade Association」(1394 TA)が2002年に策定した。最高で400Mビット/秒の速度で通信ができる。
 → CAN、FlexRay、LIN、MOST

Iddq

Idd quiescent
CMOS回路の製造工程で発生した欠陥を検出する手法。理想的なCMOS回路は、静止時に電源電流(Idd)が流れないという性質を利用した手法で、IC内部の論理を切り替えながらリーク電流を測定し、故障検出を行なう。

IDE

intelligent drive electronics、 integrated drive electronics
主にPC AT互換機と内蔵ハードディスクをつなぐインタフェース。ATA(AT Attachment)ともいう。ANSI(米国規格協会)で1988 年に、ATAとして規格化された。

IDM

integrated device manufacturer
垂直統合型半導体メーカ。自社で製造設備を有し、設計、製造、販売、サポートまでを一貫して行う半導体メーカの形態。

IEC

International Electrotechnical Commission Engineers
国際電気標準会議。電気・電子分野に関する国際規格統一を目的として設立された標準化団体。現在、ISO 電気通信部門(ISO/IEC)として活動が行われている。
 → ISO

IEEE(アイトリプルイー)

The Institute of Electrical and Electronics Engineers
米国に本部をもつ電気電子技術の学会。分野は電気、電子、通信、コンピュータなどの分野にまたがる。電子部品、通信用バスコネクタ、LANなどを対象にした標準化活動も推進している。

IEEE1394

IEEE1394
音声や動画など連続的なデータの伝送に向くシリアルインタフェース規格。非同期伝送方式をもつ。1995 年にIEEEが正式に仕様を採択した。データ伝送速度は100M、200M、400M、800Mビット/秒がある。電源も供給でき、ホットプラグ(電源を入れたまま抜き差しできる)が可能となっている。
 → IEEE、USB

IEEE802.11 規格

IEEE 802.11
IEEEで、無線の規格を策定している委員会の名称(IEEE802委員会)。1980年2月に活動が開始されたことにからこの名が付いた。その作業部会の一つが無線LAN関係の規格を策定している「IEEE802.11」がある。ここが担当している標準規格群には、「IEEE802.11b」(2.4GHz 帯、伝送速度11Mビット/秒)、「IEEE802.11g」(2.4GHz 帯、同54Mビット/秒)、「IEEE802.11a」(5.2GHz帯、同54Mビット/秒)があり、また動画や音声などのデータを送るために一定の周波数帯域を割り当てる「IEEE802.11e」、IEEE802.11a を拡張する「IEEE802.11h」、暗号化技術を採用しセキュリティを高めた「IEEE802.11i」、さらに100Mビット/秒以上の伝送速度を実現する「IEEE802.11n」などがある。
 → IEEE

IGBT

insulated gate bipolar transistor
パワーデバイス分野の代表的素子で、MOS FETとバイポーラトランジスタを組み合わせて1 チップにした素子。絶縁ゲート型バイポーラトランジスタともいう。MOS FET でバイポーラトランジスタを制御する構造であるため、MOS FET の大電力制御などの両方の特長を兼ね備えており、汎用モータやインバータ制御機器などのスイッチング素子として用いられる。

IIL

integrated injection logic
I2L(アイスクエアエル)ともいう。バイポーラロジックIC の一つ。横型pnpトランジスタと逆接続のnpnトランジスタがn 領域とp 領域を共有した構造。ゲート占有面積が小さく、素子を分離する必要がないために比較的高集積化が可能である。アナログデジタル混在回路内蔵バイポーラIC のデジタル部に使用することが多い。
 → アナデジIC、ミックスドシグナル

IMT-2000

International Mobile Telecommunication 2000
第3 世代の携帯電話の方式。ITU(国際電気通信連合)が2000 年代からの実用化を目指して規格化を進めてきたデジタル方式移動通信方式。2GHz 帯の周波数帯を使い、最大2Mビット/秒の高速データ伝送速度を実現する。W-CDMA 方式とcdma2000 方式がある。
 → cdma2000、W-CDMA

IP

intellectual property
設計資産。本来は「知的財産権」(IPR:IntellectualProperty Right)の意味だが、これと区別するため、半導体分野ではCPU やメモリ、信号処理回路などICを構成する機能ブロックを「IP」(設計資産)と呼ぶ。IPにはその機能ブロックのハードウェア(論理回路図、ICレイアウト図など)、およびソフトウェア(ドライバソフトウェア、ファームウェア、ミドルウェアなど)がある。ハードウェア回路を「半導体IP」、ミドルウェアなどのIPを「ソフトウェアIP」ということもある。動作が確認されている既設計の回路ブロック(半導体IP)を利用すると、新たに回路を設計するよりも効率的で設計期間が短縮できる。
 → IPプロバイダ、VCX

IP

internet protocol
インターネットプロトコル。インターネット接続用の通信規約。

I-P 変換

interlace to progressive conversion
インタレース−プログレッシブ変換。NTSC 方式など通常のテレビ放送のインタレース走査(飛び越し走査)信号を、プログレッシブ走査(ノンインタレース走査)に変換する方式または回路。プログレッシブ走査では、静止映像も動画映像もチラツキの少ない、きれいな映像表示を再現することができる。
 → インタレース、プログレッシブ

IPC

instructions per cycle
CPUにおいて、1クロック当たりの命令実行数。

IPv6

internet protocol version 6
インターネット上で、コンピュータなどの場所を示す「IPアドレス」の仕様。IPアドレスの表示桁数を128ビットで表記する。これまでのIPアドレスは、32ビットで表記するIPv4(Internet Protocol ver. 4)が使われていた。インターネットの急激な普及によって、IPアドレスの不足が懸念され、IPアドレスの桁数を128ビットに拡大した。2128(3.4 × 10 の38 乗= 340 ×兆×兆×兆)ものアドレスが利用可能となる。ネット家電や自動車、さらにはRFIDなどにもIPアドレスを割り振ることが可能になる。

IPプロバイダ

IP provider
半導体IP(Intellectual Property:設計資産)の設計だけを専門に行い、これをICメーカなどへ供給する業態の企業。
 → IP

IrDA

Infrared Data Association
ノートパソコンや携帯情報端末の間で、赤外線を使ってデータをシリアル伝送するための通信規格またはその標準化団体。

IRドロップ

IR drop
V=IR(電圧=電流×抵抗)の式で表されるように、配線内の予期しない抵抗成分によって電源系の信号電圧が降下すること。ICの電源配線が複雑化してきたために問題となってきた。

ISM

industrial scientific and medical
産業科学医療用機器のための周波数帯域(バンド)。日本では現在、2.4GHz帯(2.4GHz〜2.5GHz)と5.8GHz帯(5.725GHz〜5.875GHz)が割り当てられている。空中線電力が小さい無線設備(特定小電力無線局)の場合、TELEC(財団法人テレコムエンジニアリングセンター)の技術基準適合証明を取得すれば無線局免許を必要とせず、だれでもが使用できる。Bluetoothや無線LANもこのISM帯を使う。

ISO

International Organization for Standardization
国際標準化機構。国際的標準化を推進する代表的な国際機関の一つで、設立は1947年。

ISO/IEC14443

ISO/IEC 14443
非接触ICカードの国際規格。リーダライタとの通信距離によって「密着型」「近接型」「近傍型」「遠隔型」に分けられる。さらに「近接型」は「TypeA」「TypeB」に分けられる。日本では、住民基本台帳カード、社員証カードなどに使われている。
 → ICカード、 ISO、 IEC

ISO/IEC7816

ISO/IEC 7816
接触型ICカードの国際規格。物理的な形状や電気的特性などの仕様、コマンドやプロトコルなどの必要最小限の部分が標準化されている。そのため業界やサービスに特化した仕様に基づいて、限定受信システム(CAS)用ICカード、銀行用ICカード、ETCカード、携帯電話用USIMカードなどが作られている。
 → ICカード、 ISO、 IEC

ISS

instruction set simulator
命令セットシミュレータ。コンピュータやマイクロプロセッサ上でコンパイルされたオブジェクトコードを実行し、命令の実行結果や実行に要したサイクル数、レジスタファイルやキャッシュの状態などをシミュレーション(検証)するもの。

ITRS

International Technology Roadmap for Semiconductors
国際半導体技術ロードマップ。日米欧韓台の代表が集まり、将来の半導体技術見通しに関する情報や目標実現のための課題などについての検討が行われている。日本では、1998 年にEIAJ(現JEITA)において組織化された。
 → STRJ

ITS

intelligent transport system
高度道路交通システム。道路交通の情報化によって、安全、円滑、そして人と環境にやさしい道路交通を目指す。その技術の基本は自動車とインフラ側との対話による双方向通信。すでに自動車に直接情報を送る道路交通情報提供システム(VICS)、有料道路での自動料金収受システム(ETC)などが実用化されている。
 → DSRC、ETC

ITU

International Telecommunication Union
国際電気通信連合。無線通信と電気通信分野において国際標準の策定を目的とする、国際連合の専門機関の一つ。無線通信部門(ITU-R)、電気通信標準化部門(ITU-T)、電気通信開発部門(ITU-D)に分かれる。

JTOP
Java(ジャバ)

Java
米Sun Microsystems社がC++をベースとして1995 年に開発したオブジェクト指向型プログラミング言語。Javaで作成したプログラムは、WindowsやMacOSといった特定のOSやパソコンの機種に依存することなく実行できる。実行するためにはインタープリタ(Java virtual machine)が必要となる。

JEDEC(ジェデック)

Joint Electron Device Engineering Council
電子デバイス技術合同協議会。米国のユーザとメーカが合同で、IC などの電子デバイスの統一規格(用語、製品の特性や動作、テスト方法、信頼性、パッケージなど)を討議し、標準化を推進する業界団体。

Jini(ジニー)

Java intelligent network infrastructure
家庭内ネットワークの通信仕様。Javaの実行環境を実装したAV 機器やパソコン間が容易に接続できる。

JPEG(ジェイペグ)

Joint Photographic coding Experts Group
画像圧縮の国際標準方式。現在のデジタルカメラのほとんどは、記録画像のファイル形式にJPEGを使用している。画像を空間周波数列に分解して画像の重要な部分(低周波)を残し、圧縮率に応じてそれほど重要でない部分(高周波)を切り捨てる。このため、圧縮率を高くすると輪郭線がぼやけていく。もともとはカラー静止画像の符号化方式の標準化を進めているISO(国際標準化機構)とITU-T(国際電気通信連合電気通信標準化部門)の合同組織の名称。またはこの組織が制定したカラー静止画像の符号化方式を表わす。
 → MPEG

JTAG

Joint Test Action Group
JTAGテスト規格。デバイスの全ての外部入出力ピンを順次走査してテストデータの入出力を行い、デバイスの内部やプリント回路基板の動作を試験する。デバイスごとに、入出力端子やテスト用制御回路を設ける必要があり、その規格を規定している。1990年にIEEE1149.1として標準化されている。

KTOP
KGD

known good die
テスト・信頼性工程を経て、良品のICチップであることが確認済みの、品質保証されたベアチップのこと。
 → チップ、ベアチップ

KrFエキシマレーザ

krypton fluoride excimer laser
 ⇒ エキシマレーザ

LTOP
LAN(ラン)

local area network
同一建物内あるいは同一敷地内などの比較的狭い地域に分散設置されたサーバやワークステーション(WS)、パソコンなどの各種コンピュータを結ぶ構内ネットワークシステム。イーサネット、トークンリング、FDDI(Fiber Distributed Data Interface)などの規格がある。
 → WAN、無線LAN

LCD

liquid crystal display
 ⇒ 液晶

LCOS(エルコス)

liquid crystal on silicon
プロジェクタなどに用いられる小型の反射型液晶デバイスの構造の一つ。シリコン基板と対向する透明基板の間に液晶を挟みこむ。シリコン基板側に駆動回路と画素電極を設ける。透明基板と液晶層を通過した光は、画素電極で反射される。画素電極の下に回路が作られているため、開口率が高い。

LDD

lightly doped drain
MOS FET構造の一種。ソース・ドレイン領域で、ゲート端のチャネル近傍の拡散層の不純物濃度を比較的低濃度に形成した構造。低濃度の部分を設けることで、チャネル層内への空乏層の拡がりを抑え、実効的なチャネル長を長くできる。この結果、電界集中が緩和でき、リーク電流やホットキャリアの発生を抑えることができる。
 → ホットキャリア

LDO

low drop-out regulator
シリーズレギュレータの一種。入出力電圧差の小さい定電圧直流電源で、携帯機器などに使われる。パルス変調によって電力を制御するスイッチングレギュレータと比べて、リニアに電流電圧を制御するため電源リップルが小さく、また回路電流(回路消費電流)が小さい、回路規模が小さい、外付け部品点数が少ないなどの特長をもつ。一般的に、動作中の回路消費電流においてスイッチングレギュレータが数百μA〜数mAに対し、出力パストランジスタとしてMOSタイプを使うと数μAオーダーでの動作が可能。ただし、スイッチングレギュレータの損失がおよそ10%に対し、LDOでは電池電圧と出力電圧の差に応じて電力消費が発生するため、出力電圧、負荷電流によってはスイッチングレギュレータなどとの使い分けが必要。

LED

light emitting diode
⇒ 発光ダイオード

LER

line edge roughness
微細加工工程において、フォトリソグラフィ現像後やエッチング加工後のパターン側壁端部が凹凸状になり、本来所望の直線形状からずれてばらつく現象。プロセス管理上だけでなく、デバイス特性へも悪影響を与える一要因であり、トランジスタ形成工程と、ヴィアを含む配線工程で問題となる場合が多い。

LIN

local interconnect network
車載LANの通信プロトコルの一種。スイッチ入力、センサ入力、アクチュエータ制御など主にボディ系に採用されている。LINコンソーシアムが規格化した。
 →CAN、MOST

LOCOS(ロコス)

local oxidization of silicon
シリコンIC内の素子間分離に使われる局所酸化膜技術。窒化膜(Si3N4)でIC 素子を作る領域を覆い、高温酸化処理をすると、窒化膜のない領域に酸化膜が作られる。この酸化膜がLOCOSと呼ばれ、隣接素子間の分離に使用される。素子間の距離を短くでき、高集積化に非常に有効な技術である。

Low-k

low-dielectric-constant film
低誘電率膜。主に層間の絶縁に使う。ICで最も広く用いられている絶縁膜である二酸化シリコン(SiO2、比誘電率が約4)膜より低い比誘電率をもつ膜の総称。多層配線による信号遅延の問題を避けるため、層間絶縁膜として有機物やポーラス(多孔質)材料まで含めてさまざまな材料、薄膜が開発されている。
 → High-k

LTE

Long Term Evolution
スーパー3Gともいう。携帯電話の高速なデータ通信仕様の一つで、第3世代携帯電話方式「W-CDMA」の高速データ通信規格「HSDPA」をさらに進化させたもの。下り100Mビット/秒以上、上り50Mビット/秒以上の高速通信の実現を目指したもので、通信標準化団体3GPPにおいて、「LTE」(Long Term Evolution)と呼ばれて標準化が進められている。
 → W-CDMA、HSDPA、3GPP

LTPS

low temperature poly-silicon
低温多結晶シリコン。TFT(Thin Film Transistor:薄膜トランジスタ)を形成するシリコン薄膜を、ガラス基板などが変形しない比較的低温で作成する。中小型で高輝度のアクティブマトリクス駆動方式の透過型LCD(液晶ディスプレイ)に採用されている。
 → HTPS、TFT

LVDS

low voltage differential signaling
差動伝送を行うインタフェース技術。RS-622 準拠。雑音に強く、電圧振幅が小さいので高速伝送が可能になる。通常のシールド銅線で、4Gビット/秒の超高速データが10mまで伝送可能である。伝送する電圧も小さいのでEMI の発生が少なく、周辺の回路に妨害を与えない。高解像度LCD ディスプレイ、プリンタ/コピー機、ルータ/スイッチ用テレコムケーブル、携帯電話の基地局などに利用されている。

LVS

layout versus schematic
レイアウト対スケマティック(回路)検証。ICの回路およびマスク設計工程における設計確認用ツールを指す。設計された入力回路図とマスクレイアウトとを信号名などによる検証(照合確認)を行い、マスク設計工程での完成度向上を目指す。

MTOP
MAC層

media access control layer
IEEE802.2で規定されているデータリンク層の中に位置する。フレームの送受信を制御するレイヤ。MACアドレスと呼ばれる48ビットの符号を用いたアドレッシング機構を提供する。
 → イーサネット、LAN

MCM

multi-chip module
同一基板上に2 個以上のICが実装され、そのうち少なくとも1 個はベアチップを使用した機能モジュール。
 → ベアチップ

MCP

multi-chip package
一つのパッケージに複数のIC チップを搭載したパッケージ。異なる種類のチップや、同種のチップを搭載することによって、実装面積の削減が可能となり、デバイスの大容量化や高機能化が実現できる。
 → SiP

MCU

microcontroller unit
1 チップ上に中央演算処理装置(CPU)、RAM、ROM、I/Oインタフェース回路などを集積したものでマイクロコンピュータの働きをする。「シングルチップマイクロコンピュータ」あるいは「マイクロコントローラ」ともいう。用途は主に組み込み型のコントローラとして、さまざまな電子機器に内蔵される。4ビットから32ビットまでの幅広いMCUが製品化されている。
 → CPU、MPU

MEMS(メムス)

micro electro-mechanical system
欧州ではMST(Micro System Technology)とも呼ぶ。シリコンプロセスで培われた微細加工技術を用いて作製された、可動部を含む微小機械システムの総称。電子回路を搭載したものもある。圧力センサ、光通信部品、医療・バイオチップなど幅広い分野で応用が期待されている。マイクロレベルからナノレベルへ進展したNEMS(Nano Electro-Mechanical System)も検討されている。

MIMO(マイモまたはミモ)

multiple input multiple output
無線通信で、送受信をそれぞれ複数のアンテナを用いて空間多重伝送によって高速化を実現する技術。分割したデータを同一の周波数を使って、同時に複数の無指向性アンテナから送信する。送られてきた情報は複数のアンテナで受信し、これを連結する。複数のアンテナで受信することで、安定した通信が可能になる。データ伝送速度100Mビット/秒以上を実現する次世代無線LAN 規格「IEEE802.11n」への採用が予定されている。 → IEEE802.11規格、無線LAN

MIPS(ミップス)

million instruction per second
コンピュータやマイクロプロセッサの性能を表す単位。1 秒当たりの命令実行回数を100 万回の単位で表現したもの。
 → BOPS、FLOPS、MOPS

MMIC

monolithic microwave integrated circuits
モノリシックのマイクロ波集積回路。マイクロ波能動・受動素子および素子間を結ぶ配線をガリウムひ素(GaAs)やシリコン(Si)などの半導体基板上に集積化したもの。能動素子としては、主にGaAs MES FET(ショットキーゲート電界効果トランジスタ)、HEMT(高電子移動度トランジスタ)およびHBT(ヘテロ接合バイポーラトランジスタ)が使われる。
 → HEMT、GaAs IC

MO-CVD

metal organic-chemical vapor deposition
有機金属化学的気相成長法。蒸着したい金属を炭化水素基と結合させ(有機金属)、気化や輸送を容易にする。反応チャンバ内で、プラズマや熱などで反応性ガスを励起し、化学反応を促進する薄膜成長法。
 → CVD、プラズマCVD

MOPS(モップス)

million operation per second
デジタル信号処理を行うプロセッサなどの性能指標に用いられる単位。1 秒間に実行できる処理の基本単位の数を示す。million = 100 万。なおBOPSのbillionは10億。
 → BOPS、MIPS

MOS(モス)

metal-oxide-semiconductor
金属酸化膜半導体のこと。シリコン基板などの半導体表面に、酸化膜を介して金属(ゲート)を設けた、金属−酸化膜−半導体の構造。MOS IC の基本トランジスタ構造である。ソースからドレインに移動するキャリアの量(ソースとドレイン間のチャネル電流)を、絶縁体を介してゲート電圧で制御する。なお、MOS 構造を容量(キャパシタ)として使ったのが、DRAMの記憶キャパシタである。

MOS FET

MOS field effect transistor
MOS 型電界効果トランジスタ。ソース、ゲート、ドレインの3 電極があり、ゲート電極に加えた電圧によってソースとドレイン間のチャネル電流を制御する。MOSFETにはn型MOS FETとp型MOS FET の2 種類がある。バイポーラトランジスタに比べてチップ内のデバイス占有面積が小さく、製造工程が短いので高集積化に適している。

MOST

media oriented systems transport
オーディオなど情報系の車載ネットワーク・プロトコル。欧州車を中心に採用されている。POF(プラスチック光ファイバ)またはUTP(Unshielded Twisted Pair:銅線ケーブル)で伝送する。ストリーミングとパケット、コントロールの3種類のデータタイプに対応し、最大で50Mビット/秒の伝送速度が可能である。
 → CAN、LIN、FlexRay

MP@ML

Main Profile @ Main Level
MPEG-2 の機能や画質のレベルを用途に応じて選べるように策定した仕様の一つ。機能の組み合わせを「Profile」、解像度やフレームレートを「Level」で定める。DVD-VIDEOやデジタル放送に活用されている。
 → MPEG

MP3

MPEG-1 Audio Layer V
動画・音声の圧縮技術であるMPEG-1 の音声圧縮技術の一つ。
 → AAC

MPEG(エムペグ)

Moving Picture Experts Group
カラー動画像蓄積用符号化方式の標準化作業を進める組織、またはその規格の通称。MPEGはISO(国際標準化機構)とIEC(国際電気標準会議)が共同で作業を進めるJTC1(情報処理関連国際標準化技術委員会)の下部組織として、1988 年から活動を開始した。動画伝送時の伝送レートで、MPEG-1、MPEG-2、MPEG-4などがある(MPEG-3はMPEG-2に吸収)。標準化の範囲には、動画像圧縮方式だけでなく、オーディオの符号化、動画像とオーディオの多重・同期を扱うシステム仕様も含まれる。このほか画像データベース化を目的とした「MPEG-7」もある(MPEG-5とMPEG-6は欠番)。
 → JPEG

MPEG-4 AVC/H.264

MPEG-4 part 10 advanced video coding/H.264
動画/音声圧縮の規格。通信技術の標準化機関ITU-TのVCEG(Video Coding Experts Group)が策定していたH.264と、MPEGを規定しているISO/IECとが共同で策定した国際標準規格。2003年に勧告として承認された。携帯電話などの低速から、Blu-ray DiscやHDTVの高速データ伝送まで幅広く利用されている。
 → MPEG

MPU

microprocessing unit
マイクロCPUあるいはマイクロプロセッサともいう。マイクロコンピュータの中央演算処理装置(CPU)をIC化したもので、演算や制御機能をもつ。並列処理を行うビット数を付けて「16ビットマイクロプロセッサ」などとよぶ。8ビット、16ビット、32ビット、64ビットのマイクロプロセッサなどがあり、最近は128ビットも製品化されている。命令方式にはCISC、RISC、VLIWがある。
 → CPU、CISC、RISC

MRAM(エムラム)

magnetic random access memory
磁気不揮発性メモリ。磁気抵抗効果をもつGMR(Giant Magnetoresistance:巨大磁気抵抗)膜やTMR(Tunneling Magnetoresistance:トンネル型磁気抵抗)膜を記憶素子に用いた不揮発性メモリ。GMR やTMR 膜は、スイッチング磁界によって電気抵抗値が大きく変化する。この現象を利用して、電流の切り替えによって発生する磁界をスイッチすることで“0”“1”の状態を実現する。
 → GMR、TMR

MTBF

mean time between failure
平均故障間隔。故障するまでの動作時間の平均をいい、総動作時間をその期間の総故障数で割った値で示す。機器や電子部品の信頼性を表す尺度の一つで、この値が大きいほど、製品の信頼性は高いといえる。故障率はこの値の逆数になる。
 → FIT、MTTF、故障検出率

MTTF

mean time to failure
平均故障寿命。修理しない機器や電子部品の故障までの動作平均値をいう。
 → FIT、MTBF、故障検出率

NTOP
NAND型フラッシュメモリ

NAND-type flash memory
フラッシュメモリの一種。メモリセルの構造が、ビット線に直列に接続された単純な構造のため、NOR型に比べて集積度を上げやすい。データ格納用の大容量メモリとしての用途が多い。
 → NOR型フラッシュメモリ

NFC

Near Field Communication
短距離無線通信規格。2003年12月にISO/IEC IS 18092として国際標準となった。13.56MHzの電波を使い、10cm程度のごく近距離で106〜424kbpsの双方向通信が可能。もともと、非接触式ICカードとして広く普及しているソニーの「FeliCa」や、Philips社の「Mifare」で使われていた通信方式である。国際標準となったことで、今後はICカードだけでなく、オーディオ機器など一般消費者向けエレクトロニクス製品に普及する可能性がある。 →ICカード、 FeliCa、 Bluetooth、 ZigBee、 無線LAN

Ni-Cd蓄電池

Nickel-Cadmium rechargeable battery
二次電池の一種で、正極に水酸化ニッケル、負極に水酸化カドミウム、電解液に水酸化カリウム水溶液(苛性カリ・KOH aq.)を用いたアルカリ蓄電池。ニカド電池(JIS名称)、ニッカド電池、カドニカ電池(三洋電機の商標)とも呼ばれる。
 → NiMH(ニッケル水素蓄電池)、 リチウムイオン電池

NiMH(ニッケル水素蓄電池)

nickel metal hydride battery
正極にニッケル、負極に水素吸蔵合金を用いた二次電池。Ni-Cd蓄電池に比較して電力容量が高く、カドミウムを使用しないために環境問題も回避できるという特長がある。課題はNi-Cd蓄電池に比べて製造コストが高いこと。また当初、NiMHはメモリ効果はほとんどないとされていたが、実際にはメモリ効果が起こることが報告されている。
 → Ni-Cd蓄電池、 リチウムイオン電池

nMOS(エヌモス)

n-channel MOS
n 型(nチャンネル)MOS EFTの略称。基板がp型で構成され、ソースとドレイン部がn 型に作られたMOSデバイス。ソースとドレイン間のチャネル電流が電子(エレクトロン)によって運ばれるので、pMOSより高速である。
 → MOS、pMOS

NOR型フラッシュメモリ

NOR-type flash memory
フラッシュメモリの一種。メモリセルの構造が、DRAMと同じように並列接続のため、ランダムアクセスが可能。NAND型に比べて高速アクセスが可能で、プログラム格納用メモリとしての用途が多い。
 → NAND型フラッシュメモリ

NPT型IBGT

non-punch through insulated gate bipolar transistor
 ⇒ノンパンチスルー型IBGT

NTSC

National Television System Committee
全米テレビジョン放送方式標準化委員会の略称。同委員会が策定したアナログテレビジョン放送標準方式、とくに1953年に定められたカラーテレビジョン放送方式の規格も、この名称で呼ばれている。

OTOP
OEIC

opto electronic integrated circuit
光電子集積回路。光素子と電子素子を同一基板に集積したIC。半導体材料で作られたモノリシック回路であり、一つ以上の発光素子あるいは受光素子と、電気信号を処理する回路を集積したもの、またはこれらの3 者を集積したものを指す。光ファイバ通信の送信用、受信用、中継器用あるいは光ディスク用半導体レーザモジュール(駆動回路内蔵)などがある。

OEM

original equipment manufacturing
他社のブランドの製品を製造すること。

OFDM

orthogonal frequency division multiplex
直交周波数分割多重。地上デジタル放送や無線LAN などに用いられているデジタル変調方式の一つ。多数のキャリアを用いることで、マルチパスのある伝送路でも比較的安定した通信ができる(フェージングに強い)。しかし、マルチキャリアのために、伝送路に非線形特性があると相互変調による影響がでる。歴史は古く1950 年代に提案され、基本原理の検証は1960年代に終了し、1966 年に米国で特許が成立している。
 → CDMA、TDMA

OPC

optical proximity correction
 ⇒ 光学近接効果補正

OS

operating system
コンピュータシステムでCPUやメモリ、入出力装置(ディスク、グラフィックス、キーボード)などのハードウェア資源の割り当て・管理・アクセス制御などを行い、ハードウェア資源を抽象化する基本ソフトウェア。アプリケーションソフトウェアに対して、コンピュータのハードウェア資源を効率的に使うためのアプリケーション・インタフェース(API)を提供する。Windows、Linux、UNIX、MacOS、TRONなどがある。
 → API

OTP

one time programmable ROM
ワンタイムPROM。一度しか書き込みができないタイプのプログラマブルROM。ROMライタ/専用ライタで簡単に書き込める。プログラム開発のデバッグ用、試作用に使われている。
 → EEPROM、EPROM、PROM

PTOP
PAN

personal area network
約10m 以内の狭い範囲内のネットワーク。主に個人が身に付けている電子機器同士で通信を行う。無線ではBluetoothやUWBなどがある。
 → Bluetooth、UWB、 ZigBee

PCM

pulse code modulation
パルス符号変調。パルス変調の一方法。音声信号などの波形振幅(アナログ量)をサンプリング(標本化)および量子化し、それぞれのサンプル値を2 進数表現の時系列(パルス列)で表わすデジタル表現。その発展形として、各サンプル値の差異に着目して波形を表現するADPCM(adaptive differential pulse code modulation:適応型差分パルス符合変調)方式がある。

PCMCIA

Personal Computer Memory Card International
 ⇒ PCカード

PCカード

PC card
米国のPCMCIA(ICメモリカード推進団体)と日本電子工業振興協会(JEIDA、現JEITA)が共同で規格化した「PC CardStandard」に準拠した、クレジットカードサイズの周辺機器の総称。

PDA

personal digital assistants
個人向け携帯型情報端末機器。ソフトウェアの追加やカスタマイズが可能で、電子手帳に比べ自由な使い方ができる。

PDC

personal digital cellular
日本の標準デジタル携帯電話方式(第2 世代)。商用サービスは1993 年3月に始まった。音声信号の伝送速度は5.6kビット/秒(ハーフレート)または11.2kビット/秒(フルレート)で、データ通信に使える伝送速度は9.6kビット/秒である。

PDP

plasma display panel
ガス放電で発生する紫外線で蛍光体を励起し、発光させるディスプレイ。薄さと大画面という特長がある。

PFC

perfluoro compounds
パーフルオロ化合物。代替フロンの一つ。米国では、Perfluoro Carbonという場合が多い。この場合は、炭化水素の水素基がフッ素で全て置換された化合物を意味する。半導体業界では幅広く、CF4、C2F6、C3F8、C4F8、CHF3、SF6、NF3を総称してPFCと呼んでいる。微細加工のためのエッチング工程やCVD 装置の洗浄で使用される重要なガスである。しかし地球温暖化係数が大きいとされ、その排出削減が求められている。
 → CVD、エッチング

PFOS

Perfluorooctane Sulfonates
パーフルオロオクタンスルホン酸塩(有機フッ素化合物)。撥水剤や界面活性剤、反射防止剤などに使われている。化学的に安定しており(分解されにくく、環境中に残りやすい)、かつ毒性が懸念されており、POPs条約への追加が審議されている。

PGA

pin grid array
挿入実装型パッケージの一つ。下面全面にピンが格子状に配列されている。

PHEV

plug-in hybrid car
外部充電端子を装備したハイブリッド自動車。通常のハイブリッド自動車より 大容量の充電池を搭載し、モータだけで走行可能な距離を格段に増やしている。
 → HEV

PHS

personal handyphone system
設備や仕様を簡略化し、通話料を低く押さえた携帯電話の一種。日本で開発された。一つの基地局がカバーする範囲が狭く、端末1台あたりの周波数帯域が携帯電話よりも広い。基地局設備が簡易で安価な点を生かし、地下街や地下鉄駅などでの基地局設置がいち早く進み、都市部では携帯電話よりもつながりやすいという状況が生まれた。今後は次世代PHSの新規格であるXGP(Extended Global Platform)の普及活動に移行する。
 → XGP

PIN

personal identification number
暗証番号。数字だけで構成される。

PKI

public key infrastructure
公開鍵暗号を用いた技術・製品全般を示す言葉。RSA や楕円曲線暗号などの公開鍵暗号技術、SSL(Secure Socket Layer)を組み込んだWeb サーバ/プラウザ、S/MIME(Secure/Multipurpose InternetMail Extensions)、PGP(Pretty Good Privacy)などを使った暗号化電子メール、デジタル証明書を発行する認証局(CA)構築サーバなどが含まれる。

PL

product liability
 ⇒ 製造物責任

PLC

power line communication
電灯線通信技術。電力線搬送通信ともいう。電気の配線(電灯線)を通信回線として利用する技術。電灯線に重畳する搬送周波数が10kHz 〜 450kHz では、データ通信速度が9600ビット/秒と遅い。しかし、2MHz〜 30MHz の高周波を使い、数十M 〜 200Mビット/秒のデータ通信を可能にする高速電力線搬送通信(高速PLC)が実現されている。

PLD

programmable logic device
ユーザが論理内容を後から設定できるセミカスタムIC。超短納期、少量多品種生産の点で優位性がある。AND ゲート群とOR ゲート群を組み合わせて任意の論理回路を構成する。プログラムデータはSRAM セルもしくはEPROMに保持される。論理回路の構成の仕方によってFPGA、PLA、CPLD、FPL、PALとも呼ばれる。
 → FPGA

PLL

phase-locked loop
位相同期ループ。正確な周波数追尾を行うためのサーボ回路機構で、位相比較器、ローパス(低域通過)フィルタ、電圧制御発振器(VCO)で構成されている。ビデオのモータなどの精密回転制御、通信機などの変復調、放送受信機などの民生機器に多く利用されている。

pMOS(ピーモス)

p-channel MOS
p 型(pチャンネル)MOS FET の略称。基板がn 型で構成され、ソースとドレイン部がp 型に作られたMOS デバイス。チャネル電流は正孔(ホール)によって運ばれる。nMOS に比べて速度が遅いので、単独では高い出力電圧が必要な場合など特殊な用途に使われる。
 → nMOS

pn 接合

pn junction
同一結晶中で一方がp 型、他方がn 型の構造を有する半導体の接合。

PND

personal navigation device、 portable navigation device
小型の携帯型カーナビゲーションまたはナビゲーション機能をもつ小型電子機器のこと。液晶モニタと地図データの記録媒体にフラッシュメモリを使用する。

ppm

parts per million
微量含有物の存在比率を表す単位で、100 万個に1 個の割合を示す。なお、ppbは10 億分の1 個の割合。

PROM(ピーロム)

programmable read only memory
プログラム可能な読み出し専用メモリ。不揮発性メモリの一つ。IC 完成後に外部から電気的に記憶情報を書き込めるタイプの読み出し専用メモリ。種類として、EEPROM(E2PROM)、EPROM、OTPなどがある。
 → EEPROM、EPROM、OTP

PSK

phase shift keying
位相偏移変調。搬送波の位相を入力デジタル信号の内容に応じて変化させ、デジタル情報を伝送する。搬送波に対する変調波の位相数に応じて2 相PSK(BPSK)、4 相PSK(QPSK)、8 相PSKなどがある。FSK(Frequency Shift Keying)方式やASK(Amplitude Shift Keying)方式などに比べて同じC/N(Carrier/Noise)比に対する符号誤り率が小さい。
 → QAM、 QPSK

PSM

phase shift mask
 ⇒ 位相シフトマスク

PT型IBGT

punch through insulated gate bipolar transistor
 ⇒ パンチスルー型IBGT

PVD

physical vapor deposition
物理的気相成長法。物理的手段(たとえばスパッタリングなど)を用いて材料物質を堆積させる成膜法。これに対するものが化学的気相成長法(CVD)。
 → CVD、気相成長、スパッタリング

QTOP
QAM

quadrature amplitude modulation
直交振幅変調。位相と振幅の両方に情報を載せるデジタル変調方式。4 相PSK(QPSK)の変調振幅を2値にしたもの。PSKより電波の利用効率が高い。
 → PSK、QPSK

QFP

quad flat package
表面実装型パッケージの一つ。パッケージの4 側面すべてからリード端子が出ているタイプ。

QPSK

quadrature phase shift Keying
4 相位相偏移変調。4 つの位相(たとえば0 度、90度、180 度、270 度)を用い、2ビットのデジタル信号を1シンボル期間に伝送する。直交する搬送波を用いて2 つのBPSK 波をつくり、それらを合成することでQPSK波を得ることができる。
 → PSK、QPSK

QWERTY(クワーティ)

QWERTY
19世紀に考案された、一般的な英文タイプライターやコンピュータキーボードのキー配列。英字最上段の文字が左からQWERTYの順に並んでいることから、このような名称が付けられている。

RAM(ラム)

random access memory
随時書き込み読み出しメモリ。情報を任意のメモリセルに任意の順序で記憶することができ、また任意のメモリセルから同じ速度で記憶情報を読み出すことができる。定期的にリフレッシュ動作が必要なダイナミックRAM(DRAM)や電源を切るまで記憶情報を保持し続けるスタティックRAM(SRAM)などがある。
 → DRAM、SRAM

RTOP
Rambus DRAM、 RDRAM

Rambus dynamic random access memory
 ⇒ ラムバスDRAM

RCA 洗浄

RCA cleaning
米国RCA 社によって開発された、IC 製造工程における代表的なシリコンウェハ洗浄法。

RET

resolution enhancement techniques
解像度向上技術。フォトリソグラフィ工程における微細パターンの忠実性を確保するため、位相シフトマスク(PSM)や光学近接効果補正(OPC)などの技術が用いられているが、これらを指す言葉として総称的にRETと表現される場合が多い。
 → リソグラフィ、位相シフトマスク、光学近接補正

RFID

radio frequency identification
 ⇒ 無線タグ

RIE

reactive ion etching
反応性イオンエッチング。ドライエッチングの一つ。加速イオンによる物理的作用と被エッチング材との化学的作用の両方をエッチングのメカニズムに利用している。
 → エッチング

RIMM(リム)

Rambus in-line memory module
Rambus DRAMを搭載したメモリモジュール。DIMMに似た形状だが、内部の回路などは全く互換性がない。電圧は2.5Vで、端子数は184ピン。
 → ラムバスDRAM

RISC(リスク)

reduced instruction set computer
縮小命令セットコンピュータ。CPU の命令を最小限に抑えてハードウェアの負担を軽減し、高速動作を追求したコンピュータ。命令セットは使用頻度の高い基本命令に限定し、命令語長の統一、各命令を同じサイクル時間で実行できるなどの手法をとる。高いMIPS 値が得られる一方で、コンパイラなどソフトウェアへの負担は重くなる。
 → CISC

RoHS 指令

Directive of the Restriction of the Use of Certain Hazardous Substances
電気電子機器に含まれる特定有害物質の使用制限に関する欧州指令。2006 年7月1日に施行。鉛、水銀、六価クロム、カドミウム、ポリ臭化ビフェニル(PBB)、ポリ臭化ジビフェニルエーテル(PBDE)の電気電子機器での含有が制限されている。、均質材料中の鉛、水銀、六価クロム、PBB、PBDEは、重量比0.1 %、カドミウムは重量比0.01 %が最大許容値である。均質材料とは、機械的に異なる材料に分離できないことをいう。この指令には、除外用途が設定されている。

ROM(ロム)

read only memory
読み出し専用メモリ。電源を切っても記憶した情報が消えない特徴をもつ。マイクロプログラム、文字パターン、定数などの内容が変化しない情報を記憶するのに使用される。ユーザが情報を自由に書き込めるプログラマブルROM(PROM)と製造工程で書き込み内容が固定されるマスクROMに大別される。
 → PROM、マスクROM

RRAM

resisitive random access memory
電圧パルスの極性によって抵抗値が変化するマンガン系酸化物などを用いた不揮発性メモリ。高抵抗状態と低抵抗状態を“1”“0”に対応させる。

RTL

register transfer level
レジスタ伝送レベル。HDL(Hardware DescriptionLanguage:ハードウェア記述言語)でハードウェアを記述する抽象レベルの一つ。論理回路をレジスタ間の動作として表現する。IC の設計階層の中で、ゲートレベルよりも上位の記述レベル。記述言語としては、Verilog HDLまたはVHDLを使うことが多い。このほか、RTLよりも抽象度が高いビヘイビアレベル、回路情報に近いゲートレベルがある。
 → Verilog HDL、VHDL

STOP
SDF

standard delay format
EDAツール間で取り扱う遅延情報の標準フォーマット(タイミングデータ形式)。ツールや言語から独立した表現(ASCII データ)。素子の遅延時間に加えて、パスの遅延時間や遅延時間の制約条件、配線遅延時間なども扱うことができる。

SDR

software defined radio
ハードウエアに変更を加えることなく、1台の無線機のソフトウェアを書き換えることで、携帯電話、PHS、無線LANなど、複数の異なる通信方式の切り替えを可能にする無線通信技術。

SDRAM

synchronous DRAM
 ⇒ シンクロナスDRAM

SED

surface-conduction electron-emitter display
CRT(ブラウン管)と同様に、電子を真空中に放って格子状に配置されたガラス基板上の蛍光体を励起し、発光させるディスプレイ。

SEM(セム)

scanning electron microscope
 ⇒ 走査型電子顕微鏡

SerDes

serializer / deserializer
パラレルをシリアルに変換、またシリアルをパラレルに変換するインタフェース回路のこと。

SiC

silicon carbide
炭化ケイ素。パワー半導体の主力材料として期待されている。Siと比較して破壊電界強度が約10倍、飽和ドリフト速度が約2倍、熱伝導度が約2倍で、高温にも強い。高耐圧化、低損失化、高温時の安定動作などの面で物理的に従来のSi半導体よりも優れた性質をもつ。

SICAS

Semiconductor International Capacity Statistics
半導体産業におけるキャパシティ(生産能力)や稼働率の統計管理を目的に、世界の5業界団体(EECA、JEITA、KSIA、SIA、TSIA)の支援の下に、1994年に活動を開始した。現在世界の主要半導体メーカ32社が会員として参加している。

SiGe

silicon germanium
シリコン(Si)とゲルマニウム(Ge)の固溶体である混晶半導体。Siより禁制帯幅が狭く、電子移動度が大きい特長をもつ。また、シリコンバイポーラトランジスタと比べてより高速動作が可能である。

SIMD

single instruction multiple data
単一命令複数データ処理。一つの命令で複数のデータを同時に処理する方式。例えば一つの加算命令で2つのデータ組(A1、A2)、 (B1、B2)の要素同士の加算A1+B1、 A2+B2を同時に処理することで性能向上する方式。データ組(A1、A2)、(B1、B2)はそれぞれ一つのレジスタ上に分割して配置され、データ並列度は2〜8のSIMD方式が実用化されている。

SIMM(シム)

single in-line memory module
DRAMなどを複数個を基板に実装したJEDEC 規格のメモリモジュール。32ビット単位でデータの読み書きを行い、表と裏の端子は結線されている。PC-AT互換機では、72ピンの32ビットデータ幅が使われている。
 → DIMM、JEDEC

SiO2

Silicon dioxide
 ⇒ 二酸化シリコン

SiP

system in package
パッケージの中に所望のメモリやマイコン、受動部品を複数搭載し、内部を三次元的に接続することで所望のシステムを実現したもの。
 → MCM、MCP

SMD

surface mount device
表面実装型部品。SMTと同義語で使われることがある。
 → SMT

SMIF(スミフ)

standard of mechanical interface
ウェハカセットの搬送・保管用のケース(ポッド)。この中に収納したウェハはクリーン度を保つことができる。高度なクリーンルーム内でなくてもIC の製造(前工程)が可能になる。このため「局所クリーン化技術」ともよばれる。
 → FOUP、クリーンルーム

SMPTE VC-1

Society of Motion Picture and Television Engineers VC-1
米Microsoft社が開発した動画像圧縮方式である「Windows Media Video 9」をSMPTE(Society of Motion Picture and Television Engineers:米国映画テレビ技術者協会)が規格化したもの。MPEGなどと同様に、復号処理(デコーダ)の設計に関する規格として標準化されており、符号化処理(エンコーダ)に関しては言及していない。
 → MPEG

SMT

surface mount technology
面実装技術。基板表面に電子部品やICを実装する技術。部品のリード(端子)を基板に挿入しない。電子機器の小型、軽量、薄型化に対応する。これに対応した部品を面実装部品(SMD:Surface Mount Device)という。
 → SMD

SMT

simultaneous multi threading
一つのCPU で、複数の実行スレッドを同時に実行するプロセッサの機能。

SoC

system on a chip
 ⇒ システムLSI

SOG

sea of gate
自由にゲート領域と配線領域を構成できる構造のゲートアレイ。チップ全面にトランジスタを海のように敷き詰めているので「Sea of Gate」あるいは「チャネルレス型ゲートアレイ」と呼ばれている。RAM やROMを効率よく内蔵し、ランダム論理だけでは回路が構成しにくい大規模回路などに利用される。
 → ゲートアレイ

SOI

silicon on insulator
シリコン酸化膜(SiO2)などの絶縁膜の上にSi 層を形成した構造。そこにICをつくる。サファイアの表面にシリコン単結晶を気相成長させたSOS(Silicon onSapphire)が最初の試み。サファイア基板上の薄膜Si 層をレーザ光などで単結晶化し、ICを作り込む。このほか、酸化膜を介してSi 基板同士を貼り合わせる方法や、Si 単結晶基板に酸素イオンを打ち込みSiO2 層を形成するSIMOX( Separation by Implanted Oxygen)法などが実用化された。SOI デバイスは低消費電力、高速動作に適している。

SOR

synchrotron orbital radiation
 ⇒ シンクロトロン

SPC

statistical process control
統計的工程管理。製造工程の各チェックポイントで収集された膨大なデータをコンピュータで統計的処理を行い、製造条件や各工程でのIC のでき栄えの推移(傾向)をモニタ管理するシステム。CIMと連動して自動データ収集、統計処理、判断、指示を行うものもある。

SpecC(スペックC)

SpecC
ソフトウェア開発言語であるC 言語をもとに、ハードウェア設計に必要な並列性や時間情報が記述可能な構文を追加した言語。STOC(SpecC Technology Open Consortium)が標準化を進めている。

SPICE(スパイス)

simulation program with integrated circuit emphasis
IC 用の回路シミュレータ。1975 年に米University of California、Berkeley校で開発された汎用回路解析(アナログ)プログラム。トランジスタ、抵抗、コンデンサなど対象回路を構成する素子レベルの回路情報をもとに、その回路の挙動をシミュレーションする。解析手法には、直流(DC)解析、交流(AC)解析および過度解析がある。

SRAM(エスラム)

static random access memory
スタテックRAM。記憶保持動作(リフレッシュ動作)が不要な随時書き込み読み出しメモリ。メモリセルがフリップフロップ回路で構成されており、一度書き込まれた情報は電源を切るまで消えない。SRAMは動作タイミングが容易で、高速性能も得られやすい。このため、高速を要求するキャッシュメモリや小型電子機器に使用される。メモリセルがDRAM 構造でリフレッシュ用の補助回路を内蔵した疑似SRAM(Pseudo SRAM)がある。
 → DRAM

SSD

solid state disk、 solid state drive
記憶媒体としてフラッシュメモリを用いるドライブ装置。ハードディスクドライブ(HDD)と同じ接続インタフェース(ATA規格)を持っており、HDDに代わる高速ストレージとして注目されている。
 → NAND型フラッシュメモリ

SSP

simple secure pairing
機器同士を接続するために必要な相互認証(ペアリング)技術の一つ。Bluetoothでは、接続シーケンスごとに6桁のパスキー(PINコード)が自動設定され、従来のように4桁以上の数字を一定時間以内に入力する必要はない。NFC(Near Field Communication)技術が実装され、機器同士を近づけるだけで相互に認証を行うことができる。なお、PIN(Personal Identification Number)は、暗証番号のこと。
 → Bluetooth、NFC

STA

static timing analysis
ICの設計工程で行なわれる静的なタイミング解析のこと。外部からのテストパターンを必要とせず、想定しうる全ての信号伝達経路の遅延を足し合わせることによって、遅延時間が最大となる径路(クリティカルパス)や最小となる径路などを分析できる。これらがタイミング仕様を満足しているかどうかで設計を検証する。

STB

set-top box
一般には、ビデオオンデマンドや映像版ホームショッピングなど、双方向マルチメディア通信サービスを利用する場合に必要な家庭用通信端末を指す。テレビセットの上に置いて利用する箱(端末)という意味でこう呼ばれる。最近のSTBでは地上波デジタルやBS放送も鑑賞できるようになっている。

STI

shallow trench isolation
素子分離方法の一種。シリコン基板表面にドライエッチングで溝を形成した後、CVDなどの工程によって絶縁膜を堆積し、それをCMPなどで平坦化して素子分離を行う技術。LOCOSに比べて分離領域を小さくすることが可能である。しかし、溝を形成する基板表面に欠陥を生じさせない工夫が必要。
 → ドライエッチング、CVD、CMP、LOCOS

STRJ

Semiconductor Technology Roadmap Committee of Japan
将来の半導体技術見通しに関する情報や目標実現のための課題などについての認識や共有化を目的に、1998年にEIAJ(現JEITA)に組織された委員会。半導体関連業界団体や大学・国立研究機関を含めて広範囲に活動が行われている。
 → ITRS

Super 3G

super 3rd generation
 ⇒ LTE

SystemC

SystemC
ソフトウェア開発言語であるC++ 言語のクラスライブラリによって、ハードウェア設計に必要な並列性や時間情報を記述可能とした言語。OSCI(Open SystemC Initiative)によって標準化が進められている。
 → C言語、SpecC

SystemVerilog

SystemVerilog
Verilog-HDLをシステム設計用に発展させた言語。検証用言語やシステム記述向け言語を追加し記述量の削減や曖昧性の削除を行った。2005年にIEEE1800として標準化された。
 → Verilog-HDL

TTOP
TAB(タブ)

tape automated bonding
IC チップをテープキャリアに張り付けるIC 実装技術。IC上に形成したバンプ電極をテープキャリアのインナリードと熱圧着する方式。IC パッドを一括接続するギャングボンディング方式と1 パッドずつ接続するシングルポイントボンディング方式がある。広義には、樹脂封止やテスティングも含める場合がある。
 → TCP

TAT(タット)

turn around time
受注から製品供給までの所要時間(日数)のこと。TAT 短縮は、設計・製造などあらゆる部門で合理化目標の一つとして追及されている。

TCP

tape carrier package
IC チップをテープフィルムと接続し、樹脂で封止するTAB(tapeautomated bonding)技術を用いたパッケージ。TCPはプラスチックパッケージに比べて多端子・薄型化が可能である。
 → TAB

TDMA

time division multiple access
時分割多元接続。PHSの無線アクセス方式や高速LAN で採用されている通信制御方式の一つ。時間をごく短い一定時間ごとに分割し(タイムスロット)、それを無線局(LANではノード)ごとに割り当てることによって、複数の局(ノード)が同じ周波数や回線を使って、同時に通信できる方式。
 → CDMA、FDMA、W-CDMA

TEG(テグ)

test element group
特性評価用素子。ウェハ上にチップと同様に作ることが多い。

TFT

thin film transistor
薄膜トランジスタ。ガラスなどの絶縁基板上にCVD(Chemical Vapor Deposition:化学的気相成長)技術やスパッタリング技術で形成したトランジスタ。構造は通常のMOSトランジスタと同じ。シリコン単結晶を用いる通常のトランジスタやICと区別するために薄膜トランジスタと呼ぶ。薄膜にはアモルファスシリコンや多結晶シリコンが使われる。単結晶シリコンに比べて移動度は小さい。しかし、大面積化が可能といった利点を生かして、LCD の画素スイッチなどに使用される。最近ではペンタセンなど有機材料を用いた有機TFTも開発されている。
 → CVD、HTPS、LTPS

TLM

transaction level modeling
IC設計の中の抽象度が高いレベルのシミュレーションモデルの一つ。機能ブロックを入出力端子間の読込み/書込み動作(トランザクション)の精度で表現することで、RTLよりも高速なシミュレーションを行うことができる。

TMR

tunneling magneto resistance
トンネル型磁気抵抗。十分薄い絶縁層を挟んだ強磁性体の両端に電圧を加えると、トンネル効果によって電子が絶縁層を通過できる。この状態で外部磁界を変化させると電気抵抗が急激に変化する。これがスピントンネル磁気抵抗効果(Spin Tunneling Magnetoresistive Effect)である。現行のGMR 素子に対して、MR 比(磁場をかけたときに抵抗変化する比率)が高まるため、将来のHDD ヘッドだけでなく、磁気不揮発性メモリ(MRAM)、スピンエレクトロニクス素子への応用が期待されている。
 → MRAM、GMR

TRON(トロン)

The Realtime Operating System Nucleus
ノイマン型の計算機アーキテクチャを再検討して考案された新しいアーキテクチャ体系。東京大学の坂村健教授のTRON構想に基づいて、TRON協会が中心になって規格化された。組み込みシステム向けのリアルタイムOSとして多く利用されている。デジタル家電などに使われている「ITRON」、それを実装しやすくした「μITRON」、パソコン向けの「BTRON」、通信機器用の「CTRON」、さらにリアルタイムシステム向けの標準開発プラットフォーム「T-Engine」などもある。多漢字・多国語処理が可能なOSとしても注目されている。

TSV

through-silicon via
パッケージ内に、複数のチップを積層し封止するためのシリコン基板を貫通する電極(上下方向の配線構造:ヴィア)のこと。積層した半導体チップを貫通する孔を形成し、そこに銅などの金属を堆積して電極を形成することで、パッケージの基板部分まで短距離接続配線を行う。積層チップ間を最短距離で接続できることで、高機能・高速動作のICシステムの実現が可能となる。

UTOP
UML

Unified Modeling Language
オブジェクト指向の統一モデリング言語(記述言語)。システム仕様をプログラムする場合の統一表記法。1997年11月にOMG(Object Management Group)によって認定された。システムLSIなどの設計にも利用されている。

USB

universal serial bus
周辺装置を接続するためのパソコン向けインタフェース仕様。マウスやキーボード、プリンタ、モデム、可搬型記憶装置、スピーカ、ジョイスティックなどのインタフェースの共通化を狙う。パソコン本体がUSBコネクタを一つ備えるだけで、各種の周辺装置をスター状に最高127 台まで接続できる。フラッシュメモリを内蔵した、いわゆる「USBメモリ」も普及している。
 → IEEE1394

USIC(ユーシック)

user specific integrated circuit
 ⇒ ASCP

UV-EPROM(ユーブイイーピーロム)

ultra violet erasable and programmable read only memory
記憶内容が電気的に書き込み可能で、紫外線を当てて消去が可能な不揮発性メモリ。紫外線を照射するために、IC パッケージには石英ガラスの窓がある。記憶の消去は全ビット一括。窓のない通常パッケージに実装したものをOTPROM(One Time PROM)という。
 → EEPROM

UVリソグラフィ

ultra violet light lithography
 ⇒ 紫外線露光

UWB

ultra wideband
中心周波数の25%以上、あるいは1.5GHz以上の周波数帯域をもつ通信システム。米国では3.1GHz〜10.6GHzを14バンドに分割して、1バンドあたり528MHzを割り当て、さらに5チャネルにグループ化している(日本では7.2GHz〜10.2GHz)。信号電波を非常に広い周波数帯に拡散させることで、非常に小さな出力レベルで100Mビット/秒以上の高速通信が可能になる。それぞれの周波数帯に送信されるデータはノイズ程度の強さしかなく、消費電力も少ない。位置測定、レーダー、無線通信の3つの機能を合わせもつという特長がある。ワイヤレスUSBには、この技術が使われている。
 → 無線LAN、Bluetooth

VTOP
Verilog-HDL(ベリログ-エッチディーエル)

Verilog-hardware description language
ハードウェア記述言語(HDL:Hardware Description Language)の一つ。VHDL(VHSIC Hardware Description Language)と並んで、多くのICメーカなどで使われている。プログラミング言語の一つであるC 言語と記述ルールが似ているため、修得が比較的容易である。またVHDLと比べて記述が簡潔なため、記述量も少なくて済むといわれる。1995年にIEEE1364として標準化された。
 → VHDL、ハードウェア記述言語

VHDL

VHSIC hardware description language
ハードウェア記述言語(HDL)の一つ。米国国防総省が1980 年から実施したVHSIC(Very High SpeedIntegrated Circuit)プロジェクトの成果として生まれたことから、この名前が付いている。ほぼすべてのハードウェア記述に対応できる。1987 年にIEEE1076として標準化されており、広く使われている。
 → Verilog-HDL、ハードウェア記述言語

VLIW

very long instruction word
命令長が128ビット以上で、複数の処理を並列に高速実行するマイクロプロセッサのアーキテクチャ。
 → CISC、RISC

VMOS

v-groove MOS
縦型V 溝MOS FET。シリコン(Si)単結晶基板表面にV 型の溝を形成して、溝に沿ってゲート酸化膜およびゲート電極を設け、ソース電極を表面に、ドレイン電極を裏面に形成する。オン抵抗が小さいのが特徴。パワーMOS FETに利用される。

VoIP

voice over internet protocol
インターネットやイントラネットなどのTCP/IPネットワークを使って音声データを送受信する技術。社内LANを使った内線電話や、インターネット電話などに応用されている。
 → IP、 LAN

VPE

vapor phase epitaxy
 ⇒ 気相成長

VPN

virtual private network
仮想プライベートネットワーク。公衆回線をあたかも専用回線であるかのように利用できるサービス。インターネットを介する「インターネットVPN」、通信事業者の提供するIP網を利用する「IP-VPN」などがある。
 → IP(Internet Protocol)

VSB

vestigial side band modulation
残留側波帯変調。

WTOP
WAN(ワン)

wide area network
広域ネットワーク。閉じられた狭い範囲ではなく、非常に広い範囲にわたって結ばれたネットワークを指す。公衆回線や専用線を用いて、広域に端末装置を設置したり、離れた場所にある複数のLANを接続したりするネットワーク。
 → LAN

WAP(ワップ)

wireless application protocol
携帯電話機や携帯情報端末など表示画面に限りのある携帯端末からインターネットに無線アクセスし、ブラウザで表示するためのプロトコル。WAPフォーラムが仕様を作成した。記述言語は、HTML(Hypertext Markup Language)に代えてWML(Wireless Markup Language)を採用している。
 → WML

W-CDMA

wideband-CDMA
広帯域CDMA。CDMA(Code Division Multiple Access:符号分割多元接続)方式の移動通信システムをマルチメディアに対応できるように広帯域化した第3世代携帯電話の通信方式。
 → CDMA

WEEE 指令

Directive of the Waste Electrical and Electronic Equipment
廃電気電子機器に関する欧州指令。2005年8月に施行された。生産者が回収・リサイクルの費用を負担する。上市される電気電子機器には、WEEE 対象のラベル表示が求められる。具体的な規制内容は、国ごとに異なるので、国ごとに確認する必要がある。

Wibree(ウィブリー)

Wibree
フィンランドNokia社が開発した近距離向け無線規格。伝送距離は最大10m、データ伝送速度は最大1Mビット/秒。低消費電力を特徴としている。2007年6月にWibree Forumは、Bluetoothの推進団体SIG(Bluetooth Special Interest Group)に合流し、WibreeはBluetoothの超低消費電力通信用仕様として再定義されることになった。当初からのWibree仕様「スタンドアロン実装」と、Bluetoothと共用する「デュアルモード実装」がある。
 → Bluetooth

Wi-Fi Alliance(ワイファイ・アライアンス)

Wireless Fidelity Alliance
IEEE によって標準化された無線LAN の規格IEEE 802.11規格群を推進し、無線LAN機器のベンダ間の互換性認証を行うための業界団体。
 → IEEE、IEEE802.11規格

WiMAX

worldwide interoperability for microwave access
半径約50kmをカバーし、最大70Mビット/秒の無線データ通信を可能にする無線通信の規格。2003年1月にIEEEで固定無線通信の標準規格として承認された。使用周波数帯と伝送方式はIEEE802.16aを用いる(2G〜11GHz)。

WiMedia

WiMedia
WiMedia Allianceが規格を策定、高速無線のUWB規格に準拠、ハイビジョン映像の無線伝送に対応している。伝送距離が5mで、480Mビット/秒の高速通信を実現している。
 → UWB

WIPO(ワイポ)

World Intellectual Property Organization
世界知的所有権機関。本部はジュネーブにある。WIPOは、@全世界にわたって知的所有権の保護を促進すること、A知的所有権関係の諸同盟の管理を近代化し能率化するため同盟間の行政的協力を確保すること、を目的として、1967年に設立された。

WMA

Windows Media Audio
マルチメディア圧縮フォーマット「Windows Media Technologies」に含まれる音楽用圧縮フォーマット。インターネットでの配信を主目的に開発されており、著作権保護に関する仕組みにも対応している。
 → MP3

WPAN

wireless personal area network
無線を利用したPAN(Personal Area Network)のこと。無線免許が不要な60GHzのミリ波を利用した無線伝送の規格作りが進められている。標準化のためのIEEE802.15.3cWGが活動しており、応用例として、ハイビジョン映像の非圧縮伝送がある。
 → PAN

WSC

World Semiconductor Council
世界半導体会議。各国の半導体工業会が参加する会議の名称。1997 年から年1 回開催されている。現在の参加工業会は米国、欧州、日本、韓国、台湾、中国の6 工業会。あわせて、GAMS(半導体に関する政府/当局会議:Governments/Authorities Meeting on Semiconductors)も年1 回開催される。WSCからGAMSに対して提言が行われ、GAMS はこの提言を審議しWSCにフィードバックする。

WSTS

World Semiconductor Trade Statistics
1984 年に設立された『世界半導体市場統計』。世界の主要半導体メーカ66社(うち日本19 社。2008 年12月現在)が参加している半導体出荷データの統計機関。

WTO

World Trade Organization
WTO(世界貿易機関)は、自由貿易促進を主たる目的として作られた国際機関。常設事務局がスイスのジュネーヴに置かれている。

XTOP
X 線リソグラフィ

X-ray lithography
 ⇒ リソグラフィ

xDSL

x digital subscriber line
メタリック加入者線を使って高速データ伝送をする技術の総称。ADSL(Asymmetric DSL)、HDSL(High-bit-rate DSL)、SDSL(Symmetric DSL)、VDSL(Very High-bit-rate DSL)などがある。ADSLは上りチャネルと下りチャネルの伝送速度が異なる非対称であるが、SDSLは伝送速度を上り/下り同じにした対称方式。既存の電話回線をそのまま使用して電話局側と加入者側に対応装置を設置するだけで、デジタル回線並みに高速通信が可能となる。

XGP

extended global platform
次世代PHSのこと。ウィルコムが2009年4月のサービス開始を予定している。2.5GHz帯を使用し、帯域幅は10MHz。最大データ伝送速度は29.2Mビット/秒(実効約20.4Mビット/秒)。最大通信距離は約15km。多重化方式はOFDMA+TDMA。

XML

extensible markup language
HTML(Hyper Text Markup Language)に代わるものとして標準化されている記述言語。HTML で普及したリンク(関連づけ)機能などを拡張するとともに、SGML(Standard Generalized Markup Language)をインターネット向けに最適化した。HTMLとSGMLの長所をあわせもつ。互換性があるので、ECなどの商取引やデータベースなどの記述にも採用が増えている。

ZTOP
ZigBee(ジグビー)

ZigBee
「ZigBee Alliance Inc.」が規格化を進めている省電力、低コストを追求した通信規格。2.4GHz帯を使用し、通信速度は最大250kビット/秒、通信距離は、10〜100m程度である。マルチホップが可能で、センサネットワークへの適用が期待されている。
 → マルチホップ、Bluetooth

ア行TOP
アーキテクチャ

architecture
基本設計、設計思想のこと。語源は「建築」を意味する。ハードウェア、ソフトウェアを開発・設計する場合、その思想を構築していくさまが、建築物の設計に似ているところから、アーキテクチャという名称が用いられている。

アイソレーション

isolation
素子間分離。ICで同一基板内の各素子が干渉しあい悪影響をおよぼさないように、お互いを電気的に絶縁分離すること。LOCOSは代表的なものである。
 → LOCOS

アクセスタイム

access time
メモリが読み出し動作を開始してから、メモリセルのデータが出力端子に現れるまでの時間。メモリの読み出し動作時間を示す。

アクセストランジスタ

access transistor
メモリICで、情報を記憶するメモリセルに対して、外部から情報を取り込んだり、外部への情報送出を行うトランジスタのこと。

アクチュエータ

actuator
物を動かしたり、制御したりする装置または機構のこと。電気・磁気エネルギーや油圧・空気圧を利用したものが多い。最近は光や化学エネルギーを利用したものも開発されている。

アスペクト比

aspect ratio
横縦の比率。半導体の配線パターンでは、配線幅に対する配線の厚さ(高さ)の比率をいう。コンタクトホールでは、開口直径に対する開口深さの比率をいう。

アセットライト

asset-light
 ⇒ファブライト

アセンブリハウス

assembly house
半導体製造におけるパッケージ組み立て工程だけを請け負う企業。組み立て工程に特化することで、組み立て技術、コストなどで優位性を発揮している。

アッシング

ashing
灰化。IC のリソグラフィ工程で、フォトレジストを塗布・露光・現像し、エッチングなどのマスクとして利用した後に、不要となったフォトレジストを除去する場合、酸素プラズマなどで反応させ、除去する方法をいう。

圧電素子

piezoelectric device
ピエゾ素子。圧電効果を利用した素子。誘電体(絶縁体)またはセラミックの一種。圧電効果とは、圧縮や伸長によって誘電分極が起き、素子の両端に電位差を生じる現象またはこの逆の反応。圧電振動子(超音波発信器)、SAW(Surface Acoustic Wave:表面弾性波)フィルタ、加速度センサなどがある。

後工程

assembly and testing process
IC 製造工程で、前工程(拡散工程)ででき上がったシリコンウェハを、1 個1 個のチップに切り分け、パッケージに収納(封止)する工程をいう。組み立て工程、選別工程、バーンイン工程、検査工程などがある。
 → 前工程

アナデジIC

analog-digital IC
アナログ・デジタル混載IC。ミックスドシグナルともいう。アナログ回路とデジタル回路を混載したIC。
 → Bi-CMOS

アニール

anneal
ひずみなどの除去・防止のための熱処理。焼き戻しともいう。IC 製造工程では、たとえばイオン注入後に、レーザや電子ビーム、電気炉などを使用して、導入した不純物を電気的に活性化・安定化したり、傷ついた結晶格子のダメージ回復のための熱処理をいう。

アバランシェ降伏

avalanche breakdown
なだれ効果。なだれ降伏ともいう。半導体の接合部の両側に十分大きな電界を加えると、電界によって加速されたキャリア(電子あるいは正孔)が格子原子と衝突し、電子・正孔対を作る。これがさらに格子原子と衝突し、電子・正孔対を作る。このように半導体内のキャリアがなだれ的に増大する現象をいう。pn 接合に逆方向に大きなバイアス電圧をかけた場合などに起きる。

アモルファス

amorphous
非晶質。ガラス質ともいう。固体材料で構成原子が規則正しい配列をもたない状態のもの。アモルファスシリコンは不規則的構造で無定型状態だが、半導体の性質をもつ。これを利用して液晶ディスプレイの薄膜トランジスタ(TFT)や太陽電池などが作られている。
 → TFT

アラインメント

alignment
位置合わせ。マスクパターンをウェハなどに転写する時の位置合わせ、あるいはその操作をいう。
 → ステッパ

アンチダンピング

antidumping
輸出された商品の価格が、国内より安い価格で販売されることを「ダンピング」という。これによって、輸出先の競合産業が不利益となる場合、輸出先国が自国産業を救済するためにとる処置をいう。

イーサネット

Ethernet
LAN(Local Area Network)に使用される技術規格。1 本の伝送線に分岐する形で端末をつなぐ。データ伝送速度は10M、100M、1Gビット/秒で、伝送媒体には10BASE、100BASE、1000BASE規格のケーブルを使用する。
 → LAN、WAN

イールド

yield
 ⇒ 歩留り(ぶどまり)

イオンビーム

ion beam
真空中で加速・集束した細い線状のイオン粒子の流れのこと。イオンビームエッチングやイオンビームリソグラフィなどに利用されている。
 → FIB

イオン注入

ion implantation
イオン打ち込みともいう。原子をイオン化して加速し、固体中に注入すること。この原子を不純物原子と呼ぶ。物体の性質を変える方法の一つ。半導体では、MOSトランジスタのソースやドレイン領域をp 型またはn 型にするためや、一部を低抵抗にするために利用する(不純物注入)。熱拡散で不純物を導入する方法に比べて、濃度や分布を制御しやすい。

位相シフトマスク

phase-shifting mask(PSM)
光の位相や透過率を制御することで、解像度や焦点深度(DOF:Depth of Field)を改善し、転写特性を向上させたフォトマスク。露光波長以下のリソグラフィには標準的に使われる。「ハーフトーン型」(Attenuated PSM)や「レベンソンマスク」(AlternativePSM)などがある。これに対して、従来の通常のフォトマスク(クロムマスク)は、光を透過する/遮断するという機能だけのため、バイナリマスクという。
 → 光学近接効果補正、超解像、レベンソンマスク、リソグラフィ

イベント

event
マイクロプロセッサを含むシステム内で発生する事象のことをいう。CPUコア内部の処理途中での例外的な事象や、ある処理への割り込みや、外部入力の発生通知など。
 → CPUコア

インタレース

interlace
飛び越し走査。テレビ画面やディスプレイで、1 回の画面表示(1フレーム)を奇数段目と偶数段目の2 回の走査(2フィールド)に分けて行うこと。動画表示のときチラツキを抑えられるため、ほとんどのテレビで採用されている。これに対し、1 回の走査で画面表示を行う方式はノンインタレース(プログレッシブ)という。
 → I-P変換、プログレッシブ

インバータ

inverter
直流の電圧や電流を交流に変換する回路または装置。主にエアコンなどの交流モータの回転数を変えるのに用いられる。
 → コンバータ

ウェットエッチング

wet etching
 ⇒ エッチング

ウェハ

wafer
単結晶のシリコン(Si)インゴットから切り出し、表面を研摩した円板状の薄い板のこと。この上に各種IC が作られる。最近では直径が300mm(12インチ)のウェハがICの量産に使用されている。
 → 鏡面ウェハ

ウェハプロセス

wafer process
ウェハの上に多数のIC チップを作り込む工程。前工程、拡散工程ともいう。
 → 後工程、前工程

ウェハレベルCSP

wafer level chip size package
個々のチップに分割する前のウェハ段階で、外部接続用の電極を設け、樹脂封止をしたパッケージ形態。
 → CSP

ウェル

well
シリコンウェハの表面近くに形成した比較的深い不純物添加領域のこと。ここにトランジスタなどの素子を作る。ウェルにはp 型とn 型の2 種類がある。

エアギャップ

air-gap
多層配線における絶縁方法の一つで、層間絶縁膜の代わりに空気の隙間(空隙、エアギャップ)を形成し利用するもの。微細化による配線間容量増大にともなって発生するシグナルインテグリティの劣化への一対策として、層間絶縁膜の誘電率を通常の酸化膜(SiO2)の値よりも下げた膜(Low-k膜)を用いる場合がある。それをさらに進めて最も誘電率の低い空隙を配線間の絶縁としている。
 → Low-k、多層配線、シグナルインテグリティ

エキシマレーザ

excimer laser
IC のリソグラフィ工程(露光工程)で用いるレーザ光源のこと。KrF(フッ化クリプトンエキシマレーザ、波長248nm)、ArF(フッ化アルゴンエキシマレーザ、波長193nm)、F2(フッ素エキシマレーザ、波長157nm)などがある。KrFはハーフピッチ0.25 〜 0.13μm の量産に、またArFは同0.13 〜 0.07μm 用として採用されている。

液晶

liquid crystal
通常、物質は温度を上げていくとある温度で固体から液体に変化する。しかし、特殊な分子構造をもつ物質の中には液体に直接転移せず、中間状態を経てから通常の液体になるものがある。この固体、液体、気体のいずれにも属さない第4 の状態を示す物質が液晶である。液晶は液体のように流動性があり、電気光学的には結晶(固体)の特性をあわせもつ。液晶を光シャッタとして用いたディスプレイをLCD(Liquid Crystal Display)という。

液浸リソグラフィ

immersion lithography
フォトリソグラフィ(光露光)で、縮小投影レンズとフォトレジスト(ウェハ基板)との間に、屈折率が1より大きい液体を充填してパターンを転写する技術。液体の屈折率をnとすると、投影レンズの開口数NAがn 倍に拡大され、解像性能がn 倍向上する。現状では液体として水(n = 1.4)が用いられている。
 → 超解像、リソグラフィ

エッチング

etching
食刻ともいう。一般には化学薬品などの腐食作用によって物体を削ること。IC やプリント配線基板など精密な加工に多く使われる。フォトリソグラフィ(光露光)で形成したレジストパターンをマスクにして、下地の薄膜をエッチングし、パターン形成を行う。薬液を用いる方法を「ウェットエッチング」、プラズマやイオンを利用する場合を「ドライエッチング」という。

エピタキシャル成長

epitaxial growth
下地の単結晶基板上に、それにならって結晶方位、結晶構造、近い格子定数をもつ膜を堆積させる方法。
 → CVD

エミュレーション

emulation
特定のハードウェア向けに開発されたプログラム(ソフトウェア)を、他のハードウェア上で擬似的に動作させること。擬似的・模擬的に動作するソフトウェアや装置を「エミュレータ」という。
 → シミュレーション

エリアセンサ

area sensor
受光部をエリア状(2次元)に並べたイメージセンサ。カメラなどの画像入力装置として用いられる。CCD(電荷結合素子)とCMOSイメージセンサがあり、画質ではCCDに、コストではCMOSイメージセンサに優位性がある。しかし、近年ではCMOSイメージセンサの画質向上は著しく、一眼レフカメラにも使用されるようになった。
 → CCD、CMOSイメージセンサ、ラインセンサ

エレクトロマイグレーション

electromigration
半導体デバイスなどの金属配線中を電流が流れるとき、電子と原子の衝突による運動量の移転で、電子の流れる方向に原子が移動する現象。電流密度が増大すると配線が断線を起こす。この配線寿命は、電流密度の2 〜 3 乗に逆比例する。すなわちデバイスの微細化は、電流密度の増加につながり、寿命を著しく低下させる恐れがある。また、金属配線が熱によって応力を受け断線につながるストレスマイグレーションもある。

エレクトロルミネッセンス

electroluminescence
半導体などの物質に電界を印加することによって得られる発光現象のこと。材料によって有機ELと無機ELがある。有機ELは陽極から注入されたホール(正孔)と陰極から注入された電子とが有機発光層で再結合して発光する。直流電流の注入によって発光する発光ダイオード(LED)と同じ発光機構をもつため、有機LED(OLED)とも呼ばれる。液晶、PDPに次ぐディスプレイへの応用が期待されている。無機EL は、蛍光体を含む膜に交流高電界を加えたときに起こる電子の衝突励起によって発光する。ZnS:Mnによるオレンジ色の発光が代表的。
 → 無機EL、有機EL

エンコーダ

encoder
符号器ともいう。ある情報や信号をデジタル符号化すること、またはその機能。デジタル信号の冗長度(データ量)を減らす(帯域圧縮)などに用いられる。これに対して、ある符号から元の信号を復元する機能をもつのがデコーダ(復号器)である。
 → デコーダ

エンジニアリングサンプル

engineering sample
ES。ICをユーザに機能や性能を評価してもらうためのサンプル。一般に、コマーシャルサンプル(CS)と異なり、信頼性を保証していない。
 → コマーシャルサンプル

エンハンスメント型FET

enhancement-type FET
ノーマリオフ型FET(Normally-off FET)ともいう。FET(電界効果型トランジスタ)で、ゲート電圧を0V 以上にしないとドレイン電流が流れないタイプのトランジスタ。これに対して、ゲート電圧が0V でもドレイン電流が流れるタイプをデプレッション(depletion)型FETという。MOS FET では、チャネル濃度によってエンハンスメント型にもデプレッション型(ノーマリオン型)にもできる。

エンベデッドセルアレイ

embedded cell array
ECA、エンベデットアレイともいう。ゲートアレイの一つ。ゲートアレイに機能ブロックを組み込み、特定用途向けを指向したIC。RAM やROM、CPUなどを効率よく内蔵できる。SOG(Sea of Gate)やチャネルレス型ゲートアレイと呼ぶエンベデッドアレイもある。
 → ゲートアレイ

オープンOS

Open OS(Operating System)
仕様やソ−スコードがオープンになっているオペレーティングシステムのこと。個々にライセンスが決まっており、自由に利用(複製・再配布・改変)できるライセンスであることが多い。TRON、T-Kernel、TOPPERS、LinuxなどのOSがある。

オプトエレクトロニクス

optoelectronics
オプティクス(光学)とエレクトロニクス(電子工学)の合成語。光から電気信号へ、あるいは逆に電気信号から光へと変換する技術の総称。半導体レーザ、発光ダイオード(LED)、EL、光ファイバ、光メモリ、光変調素子、光シャッタなどがある。

オペアンプ

operational amplifier
演算増幅器。OPアンプともいう。アナログコンピュータの高精度線形増幅器として使われたところから名付けられた。バイポーラ技術で高利得・広帯域の直流増幅器をIC 化したものが多い。また、品種も多く汎用リニアIC の代表製品である。このオペアンプとA-D 変換器(アナログ-デジタル変換器)をあわせて、ミックスドシグナルデバイスという場合もある。

オン抵抗

on-resistance
ゲート信号によって、MOSFETが導通している状態での抵抗成分のこと。

カ行TOP
カーボンナノチューブ

carbon nano tube
炭素の六員環だけを平面状につなげた六角網構造のシートを筒状に丸めた基本結晶構造をもち、単層CNT(Carbon Nano Tube)と呼ばれる。CNTには筒が多層に重なった多層CNT や、チューブの直径が異なるものなどがある。それぞれ違った特性をもち、金属から半導体(p 型またはn 型)の特性を示す。半導体では融点が高く、電子(またはホール)移動度と熱伝導率がシリコンよりはるかに大きい。トランジスタやフィールドエミッションディスプレイ(FED)、燃料電池の電極材料などの応用を目指した開発が進められている。
 → ナノテクノロジ

開口数

numerical aperture(NA)
対物レンズの光学的な性能を決める重要な要素の一つ。対物レンズの開口数が大きいほど分解能が高くなり、より微小な寸法での加工や微細な像観察が可能となる。開口数は次式で表される。開口数(NA)=n sinθ。ここでn は試料と対物レンズとの間にある媒質の屈折率(空気は n=1)、θはレンズの一番外を通る光線(周縁光線)と光軸とで形成される角度である。
 → 超解像

回路シミュレーション

circuit simulation
電子回路のアナログ動作を解析する方法。トランジスタ、抵抗、容量などの回路構成素子特性と回路接続状態を入力して回路内の電圧、電流などの直流特性、交流特性、過渡特性、周波数特性などの解析を行う。トランジスタレベルの回路設計に一般的に使用されている。その代表的なものがSPICEである。
 → SPICE

化学的機械的研磨

chemical mechanical polishing
 ⇒ CMP

化学的気相成長法

chemical vapor deposition
 ⇒ CVD

拡散層

diffusion layer
半導体表面から熱的な方法またはイオン注入によって不純物原子を注入すると、深さ方向に濃度勾配をもった層(p 型、n 型の層)ができる。この層のことを拡散層という。拡散層中での不純物原子の濃度は均一でなく、内部にいくにしたがって薄くなる。このため拡散層の評価は表面濃度(シート抵抗)、拡散層深さなどで行う。IC の中で拡散層は抵抗や配線などにも使われている。

拡散抵抗

diffused resistor
半導体デバイスの不純物を拡散させた層の抵抗のこと。拡散層抵抗ともいう。抵抗素子としてみれば、拡散抵抗は一般に抵抗値の温度特性があまり良くなく絶対値精度も低い。しかし、チップ内(2 個またはそれ以上)での抵抗値間での相対精度は高い。この特長を生かしてIC 内の差動増幅回路などに用いられている。

化合物半導体

compound semiconductor
2つ以上の元素からなる半導体をいう。V族とX族を組み合わせたGaAs、InP、GaNや、IV族とIV族同士を組み合わせたSiGeや、U族とVI 族を組み合わせたZnO、CdTe、(ZnSe)などがある。これらの元素を組み合わせることで、単一元素では実現できない半導体の特性を実現することが可能である。超高速・超高周波デバイスや、光デバイス(半導体レーザ、LED)などに利用されている。
 → GaAs

カスタムIC

custom integrated circuits
ユーザ(顧客)の仕様に合わせて作る特注のIC。フルカスタムICとセミカスタムICがある。
 → ASCP、ASIC、ASSP、汎用IC、ゲートアレイ、セルベースIC

画素

pixel
ディスプレイなどで、文字や絵などの画像を構成する最小単位。この画素を、R(赤)G(緑)B(青)など3原色に分解した場合は、それぞれを「ドット」という。RGB の3ドットで1 画素を構成する。一つの画像の画素数が多いほど画像ははっきりする(解像度が高い)。CCD やCMOS 撮像素子では、受光しその信号が出力される最小単位を有効画素といい、その総数を有効画素数という。これ以外に光電変換機能をなくした画素も含めたものを総画素数という。

加速試験

accelerated test
デバイス使用環境における特定のパラメータ(電圧、温度、湿度、圧力など)に対し、その条件を通常動作範囲よりも、さらに過酷な環境に変化させて故障観察を行い、実使用条件下での故障率を推定する信頼性試験方法。
 → FIT 

ガリウムひ素半導体

Gallium Arsenide semiconductor
 ⇒ GaAs IC

環境経営

environmental management
企業が環境保全への自主的取り組みを経営戦略の一要素として位置付けること。具体的には、国際規格に準拠した環境管理体制の構築(ISO14001の取得)や環境に配慮した製品・生産の展開・グリーン調達、使用済み製品や製造にともなう廃棄物の再利用・再生利用の促進などがあり、その取り組み状況は環境報告書や環境会計などを通じ開示される。
 → グリーン調達

寄生パラメータ

parasitic parameter
ゲート電極と基板の間、配線と基板の間、配線と配線の間の容量C、また配線自体の抵抗R、配線層をつなぐスルーホールや配線と拡散層をつなぐコンタクトホールの抵抗R、それらによるインダクタンスL やトランジスタ成分などを総称して寄生パラメータという。

気相成長

vapor phase growth
材料を気体(気相)状態にして結晶基板表面に薄膜を成長する技術。これには、化学的気相成長法(CVD)と物理的気相成長法(PVD)がある。
 → CVD、PVD

機能シミュレーション

functional simulation
ゲートレベル(論理レベル)より上位概念である動作レベルで、システムの仕様を記述して検証すること。

機能設計

function design
IC 設計の一つ。システム仕様に基づいて、そのICにどのような機能をもたせるかを設計すること。あるいは、その設計工程をいう。最近では設計にCADなどを利用した自動設計が一般的となっている。機能合成ともいう。また、機能設計には機能検証もある。
 → CAD、HDL

揮発性メモリ

volatile memory
電源を切ると記憶しているデータが消えてしまうメモリ。SRAM、DRAMが代表的である。
 → DRAM、SRAM、不揮発性メモリ

キャッシュメモリ

cache memory
キャッシュ(Cache)とは隠し場所の意味で、頻繁に用いるデータを一時的に保存するバッファ。容量は小さいが、動作速度が速いのが特徴。コンピュータの処理速度の向上のために、CPU(プロセッサ)と主記憶装置の間に置かれる記憶装置をいう。

協調検証

co-simulation
プロセッサモデルとシステムモデルとを結びつけ、ハードウェアとソフトウェアを同時にシミュレーションする方法。システムLSI 開発では最適なハードウェア/ソフトウェア分割の検証、システム検証に用いられる。

強誘電体

ferroelectric material
外部からの電界の方向によって、自発分極の向きが変わる誘電体のこと。

強誘電体メモリ

ferroelectric random access memory
 ⇒ FeRAM(FRAM)

キルビー特許

Kilby patent
米国Texas Instruments社のJack.S.Kilby 氏が1959 年に出願したIC の特許。チップ内の素子を結んだ構造。1986年には日本で公告された。

近接効果

proximity effect
 ⇒ 光学近接効果補正

組み込みシステム

embedded system
家庭電化製品や自動車、インフラ機器などで、機能を実現または制御するために、プロセッサ/マイコンなどの電子デバイスを組み込んだ電子機器の総称。その応用範囲は多岐にわたり、私達の生活のいたるところに存在する。

組み立て工程

assembly and testing process
 ⇒ 後工程

グリーン エンドプロダクト

green end-products
低消費型の最終エレクトロニクス製品を実現し、最終ユーザでの、省エネを実現すること。

グリーンソサエティ

green society
調達、製造、顧客との共同作業から社会での使われ方までを睨んだサプライチェーン全体の視点で捉えた省エネ貢献を推進すること。

グリーンファブ

green fabrication
省エネルギーや省資源、適正な化学物質管理を推進している半導体工場。

グリーンプロダクト

green products
半導体自体の省エネルギー化を図り、製品含有化学物質管理をし、材料もグリーンである半導体製品。

クリーンルーム

clean room
非常に清浄な環境条件を保ち、同時に温度・湿度を制御・モニタしている工場または作業場所。IC では、nm オーダの超微細なパターンを加工しているため、微量のゴミ(パーティクル)や金属、各種イオン、有機物などの不純物が存在すると、IC の歩留りや性能、信頼性などを低下させる。そのため、IC の製造はクリーンルーム内で行う。クリーンルームの清浄度のレベルは、存在するゴミの粒径と個数によって「クラス」という名前で表される。清浄環境を実現するため、一般には全体に与圧をかけ、天井のフィルタから網目状になっている床に向かって絶えず空気を流し続けている(ダウンフロー)。クリーンルームの建設コストや運転コストを下げる目的で、SMIF やFOUPなどの局所クリーン化方式が採用されている。
 → FOUP、SMIF

グリーン購入

green purchasing
1999 年に制定された「環境物品等の調達の推進等に関する法律」(グリーン購入法)によって官公庁などが環境に優しい物品を購入すること。

グリーン調達

green procurement
企業が必要な部材を調達するとき、国際規格に準拠した環境体制ができた(ISO14001を取得した)取引先から優先的に部材を購入したり、省エネルギー性に優れたあるいは有害物質を含まない部材を優先的に調達すること。

クロストーク

cross talk
回路の信号が配線間の寄生容量の影響によって変化すること。配線間の結合容量が、他の容量因子よりも大きいときにより顕著となる。
 → 寄生パラメータ

クロックスキュー

clock skew
同期回路を制御するクロック到達時間のばらつき。単にスキューともいう。クロック信号を駆動するドライバや伝播する経路の違いによって生じる。スキューが大きくなると、高速化の妨げとなるばかりでなく、誤動作の原因となる。この対策としてロジックIC では通常、CTS(Clock Tree Synthesis)によって、クロック発生回路から数段のバッファツリーを経由してフリップフロップ(FF)やRAMなどへの同期回路へと、到達時間を極力揃えたクロック信号の分配方法などが採用されている。

形式検証

formal verification
ICの機能設計と論理設計の工程で行なわれる静的(スタティック)な回路検証のこと。外部からのテストパターンを必要とせず、回路記述情報を使って検証する手法。形式検証は、プロパティ検証と論理等価性検証とに分けられる。
 → プロパティ検証

ゲートアレイ

gate array
ASIC の一つ。開発期間と費用を減らして多品種少量の需要にも応えられるセミカスタムのデジタルIC。短期間にICが提供できる。基本セルを並べたマスタウェハ(配線の直前のウェハ)を準備しておき、ユーザの論理回路図とタイミングチャートをもとに配線を行う。従来は配線領域とゲート領域が分離固定されたタイプであったが、最近はSOG(Sea of Gate)型ゲートアレイが主流となっている。
 → ASIC、SOG、マスタスライス

光学近接効果補正

optical proximity correction(OPC)
IC の加工寸法が微細化し露光波長に近づくと、マスクパターンの形状や大きさ、隣接パターンの影響によって、マスクパターンを忠実にウェハ上に露光できなくなる。この現象を光学近接効果(OPE:Optical Proximity Effect)という。そこで、あらかじめ変形を見越して、パターンの形状に応じて、パターンのエッジに段差(ジョグ)を付けたり、別のパターンを加えたり(ハンマヘッドやアシストバー、セリフ)、またパターン幅を変えるなどの補正を行う。これをOPCという。
 → DFM、位相シフトマスク

構造化テスト

structural verification
ICの故障検出手法の一つで、故障モデルに基づいて生成したテストパターンにより故障検出を行なう。短時間で高い検出率を得られる。代表的な手法として、スキャンテストとBIST (Built in Self Test) がある。
 → スキャンテスト、BIST

高誘電率膜

high dielectric constant film
 ⇒ High-k

コーデック

CODEC
符号器(Coder)と復号器(Decoder)の合成語。電気信号を一定の符号に変換する符号化機能と、それを元に戻す復号化機能をもつもの。このIC は、通信、インターネット、モデムなどで使用される。
 → デコーダ

故障検出率

fault coverage
総故障数に対する検出可能な故障数の割合。故障解析の場合には、全回路中で一度に一つしか発生しない縮退故障を対象とすることが多い。
 → FIT、MTTB、MTTF、縮退故障

固定小数点

fixed point
コンピュータが数値を扱うときの表現方法の一つ。小数点が特定の位置に固定されている数値の表現方法。表現できる数値の範囲は浮動小数点と比較すると狭いが、計算速度は速い。小数点を一番右に固定した固定小数点数が整数である。整数は最も計算速度が速い。
 → 浮動小数点

コプロセッサ

coprocessor
補助プロセッサ。CPUコア以外であって、特定の基本処理をハードウェアで実行するプログラマブルなモジュールのこと。浮動小数点演算などCPUコアでも処理可能であるが、CPUコアの効率を上げるために搭載する。
 → CPUコア、モジュール

コマーシャルサンプル

commercial sample(CS)
ユーザに最終評価を行うために渡すサンプルで、半導体メーカでは社内評価や信頼性試験を終えた状態のもの。これに対し、IC 開発途中で機能や性能を評価するためのサンプルをエンジニアリングサンプル(ES)という。
 → ES

コンバータ

converter
交流を直流に変換したり、電圧を変換したり、周波数を変換する回路または装置をいう。たとえば、DC-DCコンバータで電圧を変換する場合、直流(DC)を一度交流(AC)に変換して電圧を変え、整流し、再度直流(DC)に変換する。このDC-DCコンバータは携帯情報機器に多く用いられる。電池からの電源電圧を、各IC やディスプレイが必要とする電圧に変換するためである。
 → インバータ

コンパイラ

compiler
主にC 言語などの高級プログラミング言語で記述されたプログラムを一括して翻訳し、プロセッサで実行できるオブジェクトコード(機械語)を生成するプログラムをいう。

コンパレータ

comparator
比較器。複数の入力信号電圧の大小を比較し、それに応じてハイレベル(高レベル)またはローレベル(低レベル)の電圧を出力するもの。出力側がTTLなどの汎用ロジックICと直結できるようになっている。

コンピュータアーキテクチャ

computer architecture
コンピュータの基本構造。建築分野の基本設計や建築様式を表す言葉だったが、コンピュータ分野でもハードウェアやOS、システム、ネットワークなどの基本設計や設計思想のことをいうようになった。

サ行TOP
サイクルタイム

cycle time
メモリの読み出し、書き込みなどの動作の繰り返しに要する時間。

最小寸法

minimum dimension
半導体のパターン設計で使用される最小の寸法。一般に配線ピッチやトランジスタのゲート長などで表される。ICの世代をいう場合もあった。ITRS では世代をハーフピッチで表す。
 → 設計基準、ハーフピッチ

サインオフ

sign off
ASICベンダ(半導体メーカ)がユーザ(ASIC発注者)からネットリストを受け取るとき、論理シミュレータでエラーがないことを確認して、受け入れを決めること。最近では承認の意味で使われている。サインオフ後、ASICベンダはチップ製作に取りかかる。サインオフは、従来ネットリストレベルで行われてきたが、現在はRTL でのサインオフも検討されている。

サブストレート

substrate
半導体デバイスを作り込む単結晶基板のこと。シリコンウェハもその一つ。フォトマスクの基板、電子回路モジュールの基板などをいう場合もある。一般には下地シートという意味で使われる。
 → ウェハ

サブマリン特許

submarine patent
米国では従来、特許の存続期間が「成立より17年間」と定められていたため、審査を引き伸ばすことによって権利期間の始期をコントロールすることが可能であった。このため、出願公開の制度がなかったことも相まって、突然に、しかも競合他社の動向を見定めた適当なタイミングで特許を出現させ、当該特許権の行使をすることが可能であった。密かに沈んでいて、あるとき突然姿を現すので、サブマリン(潜水艦)特許と呼ばれている。

酸化膜

silicon dioxide
 ⇒ 二酸化シリコン

紫外線露光

ultra-violet light exposure
UVリソグラフィ。UV 露光ともいう。マスクパターンをウェハ上に転写するIC の露光工程で、紫外線領域の波長の光源を用いた露光法。i 線(波長365nm)ステッパなどがある。
 → リソグラフィ

シグナルインテグリティ

signal integrity
デジタル信号の波形品質。ロジックICのシグナルインテグリティ保証とは、内部を伝播する信号への、隣接する配線からの影響によるクロストークや信号の反射といった、予期しない歪みを抑えることを指す。

システムLSI

system LSI
SoC(System on a Chip)ともいう。装置(システム)のほとんどの機能を1 チップ上で実現した大規模な IC。これまで複数のICを組み合わせて構成していた機能を1チップに集約したもの。小型で高性能な機器を実現できる。主に、プロセッサとメモリ、入出力回路、インタフェース回路、通信回路などから構成される。アナログ回路を搭載したICもある。回路規模が大きく設計に時間がかかるため、動作を確認した既存の回路ブロックを再利用する方法が不可欠になった。この再利用できる回路ブロックのことをIP(Intellectual Property:設計資産)という。
 → DSP、IP

システム設計

system design
IC で1 個のチップにもたせるべき機能や構成を決めるための設計の最上位工程をいう。システム設計ではハードウェアとソフトウェアの分担を決めるアーキテクチャ設計が重要となる。

自動テストパターン生成

automatic test pattern generation
IC の回路故障を検出するテストパターンを自動的に発生させること。略してATPG。特定した故障を想定しシステムのアルゴリズムに基づいてテストパターンを生成する方法と、特定の故障を想定せずにランダムにテストパターンを発生させる方法とがある。現在ではICの設計時、論理合成と同時にテストパターンが発生できるなど操作性、性能ともに向上している。とくにシステムLSI(SoC)のような大規模ICを設計する場合には、ATPGが必須となっている。

シミュレーション

simulation
現実の事象をコンピュータ上でモデル化して動作を模擬すること。どのようなことが起こり得るかを調べる。「解析」などに用いる。シミュレーションをする装置またはソフトウェアをシミュレータという。
 → SPICE、エミュレーション、論理シミュレーション

ジャイロ

gyroscope
ジャイロスコープ のこと。物体の角度や角速度を検出するためのセンサ。ジャイロセンサとも言われるが、これは和製英語。

縮退故障

stuck-at fault
IC内の論理素子やフリップフロップなどの入力端子または出力端子が、回路の入力状態に関係なく、論理値“0”または“1”に固定される故障。

シリコンサイクル

silicon cycle
半導体産業特有の3 〜 5年周期で訪れる好不況の波。

シリコンファウンドリ

silicon foundry
 ⇒ ファウンドリ

シリコンフォトニクス

silicon photonics
シリコン素子上に光素子を形成する技術のこと。光素子とは、受光素子、発光素子、光変調器、光導波路などを指す。従来の光素子はV‐X族系半導体で作成されることが多かったが、シリコンプロセスで実現できれば、集積化が容易になり、コストも下がることが期待されている。

シンクロトロン

synchrotron orbital radiation
リング状の電子加速器のこと。略してSOR。物性の評価や解析に用いられている。装置途中の数個所の電子湾曲部で発生する軟X 線を取り出し、露光光源として利用することも研究されている。この軟X線は非常に均質で良好な特性をもつ。

シンクロナスDRAM

synchronous DRAM
外部から入力するクロック信号に同期してデータを入出力するDRAM。
 → DDR-SDRAM、EDO

真性半導体

intrinsic semiconductor
不純物元素を添加していない半導体のこと。真性半導体では、単位体積あたりのキャリアを電子数n、正孔数pで表わすと、nとp が等しくなる。真性半導体のキャリア数は小さいので、通常は不純物を添加して不純物半導体として利用する。リン(P)、ひ素(As)、アンチモン(Sb)などの不純物元素を添加したものをn 型半導体、ホウ素(B)、アルミニウム(Al)などの不純物元素を添加したものをp型半導体という。
 → 半導体、ドーピング

スーパーパイプライン

super pipeline
パイプラインを構成するステージ数を増やすことで、ステージあたりの処理を単純化し、高い動作周波数を実現した方式。パイプラインによる並列処理では、見かけ上1サイクルあたり、1命令の結果が得られるため、1サイクルあたりの経過時間が短ければ、それだけ短い時間で 1命令の結果が得られることになる。
 → パイプライン

スーパスカラ

superscalar
CPU のアーキテクチャの一種。並列コンピューティングの考え方をCPU 内に実装したもの。1 命令で一つの処理を行う演算装置(ALU:Arithmetic andLogic Unit)を内部に複数もっている。複数の命令を分配機構で、どれが並列に実行できるかを決め、複数のALUに分配し、処理を行う。

スキャナ

scanner
 ⇒ ステッパ

スキャンテスト

SCAN test
大規模な論理IC で必携となっているテスト手法の一つ。検査する回路の経路上にフリップフロップを組み込み、ピン(端子)から順次走査(スキャン)しながら動作を観測することで、回路の短絡・開放・架橋・遅延などを自動的に調べることができる。テストパターンの生成が容易になるので、テスト容易化設計(DFT)として最も普及している。
 → BIST、DFT、テスト容易化設計

スクラバ

scrubber
IC 製造工程でウェハを回転させながら、ブラシを適当な圧力で接触させ、純水を流しながらブラシを回転・移動して、ウェハ表面の塵埃・堆積物を除去する洗浄機。

スケーリング則

scaling law
比例縮小法、スケールダウン則ともいう。IC の基本となるトランジスタの性能向上を微細化によって実現させるための基本ルールである。

スタックドパッケージ

stacked package
一つのパッケージに複数のIC チップを積層・搭載したパッケージ。異なる種類のチップや同種のチップを積層することで、実装面積が削減でき、同一面積でデバイスの大容量化、高機能化が実現できる。
 → MCP、SiP

スタンダードセル

standard cell
 ⇒ セルベースIC
 → ASIC

ステッパ

stepper
縮小投影露光装置。IC製造工程でマスクパターンをウェハ上に転写する露光工程で使用する装置。マスク(レティクル)をレンズ光学系を介してウェハ上に縮小投影し、繰り返し転写(Step and Repeat)することからこの名がついた。露光光源には、可視光のg 線(波長436nm)、紫外線のi 線(波長365nm)、エキシマレーザのKrF(波長248nm)、ArF(波長193nm)などを使う。転写時の縮小率は、4 対1、5 対1、10 対1などがある。最近では、縮小投影レンズをステージに同期させて移動、連続的にマスクを走査する「スキャナ方式」のステッパが採用されている。露光範囲が広がり、チップサイズの大型化に対応でき、同時にスループットも向上できるからである。
 → 液浸露光

スパッタリング

sputtering
スパッタともいう。物理的気相成長法(PVD)の一つ。成膜とエッチングの両方の意味がある。真空中にアルゴンなどの放電用ガスを注入して、電極に電圧を加えるとグロー放電が発生する。このとき、プラズマの中のイオンが陰極のターゲットに衝突して原子をはじき出す現象をスパッタという。これを利用して気相成長やエッチングを行う。
 → CVD、PVD

スマートフォン

smartphone
一般的には、画面が比較的大きく、パソコンのように自由にアプリケーションを追加・カスタマイズできたり、QWERTYキーボードを内蔵する携帯電話をスマートフォンと呼ぶことが多い。しかし、明確な定義がある訳ではない。
 → QWERTY、PDA

スループット

throughput
単位時間内に処理できる仕事量のこと。または、ある仕事を処理するのに必要な時間のこと。IC や電子機器・部品工場では、製造ラインの処理能力を表す。入出力のための準備や後始末などの処理待ち時間も含む。狭義のコンピュータ用語としては、コンピュータの処理能力を示す。

製造物責任

product liability
PLともいう。製品の欠陥が原因で消費者がケガをしたり、物品が破損するなどの被害が生じた場合に、製造物やそれに使用される原材料・部品のメーカ、設計者、製造者、販売業者、保守サービス業者、その他製品に関与した者に被害者の損害、損失または懲罰的損害を賠償する責任を負わせること。日本では、1995 年7月に「製造物責任法」(PL法)として施行された。

静電破壊

electrostatic damage(ESD)
人体や機器、周囲環境に帯電した静電気が、ICの端子から内部に侵入することで、pn接合部や配線、酸化膜を破壊する現象のこと。静電気対策として、IC内にダイオードや抵抗からなる入力保護回路を設ける。また、ICを輸送する場合の包装材料や作業環境にも帯電防止を行う。

世界知的所有権機関

World Intellectual Property Organization
 ⇒ WIPO

設計基準

design rule
設計ルール、デザインルールともいう。ICを設計する時、素子各部の平面的寸法や相互の位置関係、素子間の立体的位置関係などを定めた基本規則(ルール)のこと。
 → 最小寸法、ハーフピッチ

設計検証

design verification
ICの各設計工程における設計の誤り、漏れの検出および設計完成度の確認作業を指す。検証ツールとして、仕様レベルや機能レベルには「機能レベルシミュレータ」、論理レベルには「論理シミュレータ」、トランジスタ回路レベルでは「回路シミュレータ」、マスクレイアウトレベルでは「DRC」「LVS」などがあり、各設計工程に応じた確認が可能である。とくにHDLを用いたトップダウン設計手法では、機能設計段階での設計検証(HDLシミュレーション)によって、設計の早期段階で高い設計品質と短い設計期間を確保することができる。
 → DRC、HDL、LVS

セルサイズ

cell size
ICにおける、素子あるいは回路の機能単位面積。メモリICでの情報記憶単位(メモリセル)、ロジックICでの機能単位ブロック(論理セル)、光センサでの受光単位(ピクセル)、などの各面積を指す。

セルベースIC

cell based integrated circuit
ASIC の一種。事前に用意したセルを組み合わせて目的のICを開発する。
 → ゲートアレイ、スタンダードセル

センスアンプ

sense amplifier
メモリICにおいて、メモリセルの非常に微弱な記憶信号を、非常に長いビット線を通して読み出すために用いられる信号増幅器。
 → ビット線

走査型電子顕微鏡

scanning electron microscope
SEMともいう。高倍率・高分解能の機能をもつ高性能顕微鏡。IC の3 次元微細構造観察や測定に使用される。この装置技術の応用に電子ビーム露光装置がある。

挿入実装

insertion mount
プリント基板などのIC 実装方法の一つ。パッケージのリード(端子)を基板の穴(ホール)に差し込み、はんだ付けを行なう方法である。これに対して、基板表面に装着する実装を表面実装(SMT)という。
 → 表面実装

タ行TOP
ダイ

die
 ⇒ チップ

ダイシング

dicing
ウェハ製造工程で完成したウェハ上のチップを,スクライブラインに沿ってダイヤモンドカッタ(ブレード)で切断し,個々のチップに分割する工程。ダイシングには,ウェハ切断時にウェハの厚みを一部残して切り込むハーフカット法と,完全に切断するフルカット法とがある。

多層配線

multi-layer interconnection
2 層以上の複数配線を縦方向に積み重ねたIC の配線構造。一般には、アルミニウム(Al)や銅(Cu)のメタル配線を意味する。最近では、システムLSI のように大規模化・高集積化となったため、多層配線が多く利用されている。
 →ダマシン法、平坦化

多層レジスト

multi-layer resist
フォトレジストや無機系薄膜(SOG:Spin on Glass)などを積層したエッチングマスクを多層レジストと呼ぶ。一般にフォトレジストに形成されたパターンは、逐次下層の膜へ加工転写され、最終的に被加工膜が加工される。加工寸法の微細化とともに、フォトレジストは薄膜化される。しかし、エッチング耐性の劣化や、露光時にレジスト内部で発生する酸の拡散によってレジストの解像度が低下するなどの問題が起こる(LER:Line Edge Roughness)。この問題をレジスト膜を多層化することで解決する。たとえば薄い上層部で微細パターン化を実現し、厚い下層部でエッチング耐性や反射特性、形状を制御する。液浸露光のトップコート、反射膜機能、化学増幅機能などを考慮した多層レジストも開発されている。

ダマシン法

damascene
IC の金属配線形成法の一つ。メッキ技術とリフトオフ法を併用した薄膜形成技術。銅(Cu)配線で注目された方法で、層間絶縁膜中に配線形状の溝を形成して、銅などの金属を埋め込む。接続孔に金属のコンタクトプラグを形成した後に配線溝を形成する「シングルダマシン配線法」と、接続孔および配線溝を形成した後、金属を1 度に埋め込む「デュアルダマシン配線法」がある。多層配線層を平坦にするCMP(Chemical Mechanical Polishing)技術と組み合わせて使われている。絶縁層に微細な金属配線層を埋め込む象嵌(damascene)的手法からこの名がある。
 → CMP、銅配線

単一電子トランジスタ

single electron transistor
1 個の電子のトンネリングによる電圧変化を利用するトランジスタ。薄い絶縁膜を設けた微小なキャパシタを利用したトランジスタが開発されている。

単電子デバイス

single electron device
 ⇒ 単一電子トランジスタ

チップ

chip
ダイ(die)ともいう。IC やICの代名詞として使われている。デバイス機能あるいは電子回路を作り込んだシリコン基板の小片。IC の種類によって、また同一技術でも回路の複雑さや集積度によって、チップの寸法はいろいろなものがある。製造工程ではペレット(Pellet)とも呼ぶ。またパッケージされたIC の完成品をチップということもある。
 → ベアチップ

チップセット

chip set
一つのまとまったシステム機能を実現するために必要とされる、お互いに関連性の高いIC チップの組み合わせのこと。

チャンバ

chamber
物理的、化学的反応を起こさせるための密封した反応容器。IC の製造では、CVD、スパッタリング、エッチングの各装置で使われている。

超解像

super-resolution
回折限界を「超える」という意味。光学系では一般に、解像できる物体の大きさRは、光の波長λに比例し、対物レンズの開口数(NA:Numerical Aperture)に反比例する。R = k1・λ/NAという関係がある。たとえば、波長193 nm のArFエキシマレーザで、NA0.75 のレンズを使い、k1 を0.35 とした場合、90nm の解像度となる。この波長限界以下のパターンを露光する技術をいう。k1 を小さくする工夫が「位相シフトマスク」「多層レジスト」などの技術で、またNAを大きくする有力な方法が投影レンズとウェハの間を水(屈折率 n=1.44)で満たす「液浸露光技術」などである。
 → 位相シフトマスク、液浸露光、多層レジスト

直接描画

direct writing
 ⇒ 電子ビーム露光

低誘電率膜

low dielectric constant film
 ⇒ Low-k

デコーダ

decoder
復号器。符号化された信号や情報を復元する機能をもつ。解読器ともいう。メモリでは、メモリセルの選択に使う回路をデコーダという。データを一定の規則に基づいて符号化することをエンコードという。
 → エンコーダ

デザインハウス

design house
半導体メーカから委託を受けて半導体の回路設計を行う企業。回路設計だけに特化しているため、設備投資などが不要。中国、インドなどで急成長している。
 → ファブレスメーカ

デザインルール

design rule
 ⇒ 設計基準

デジタルシグナルプロセッサ

digital signal processor
 ⇒ DSP

デジタル著作権管理

digital rights management
デジタルデータ(コンテンツ)の著作権を保護する技術の総称。利用や複製を制御・制限するための技術。音声や映像の複製制限や符号化/暗号化、電子透かしなどがある。

テストハウス

test house
半導体製造におけるテストだけを請け負う企業。テストに特化することで、テスト技術、コストなどで優位性を発揮している。

テスト容易化設計(DFT)

design for testability
大規模な論理回路に対し、故障検出率向上と試験時間短縮のため、IC内にテストを行う仕掛けを組み入れた技術。スキャンパス方式(すべてのフリップフロップをシフトレジスタとして動作させられるように回路を追加し直列接続したもの)、論理BISTなどがある。
 → BIST

デバイス設計

device design
IC の3 次元的構造を決める設計。構成要素素子、素子間分離、配線系などに関する形状、電気、物性の各特性に関する各技術要素をもとに、目的とする特性を得るようにICの構造を設計すること。

デバッグ

debug
設計品のバグや誤りなどの原因を調べ、それを修正すること。作成したプログラムの異常な部分をバグ(虫の意味)と呼び、バグを探し出して取り除く作業をデバッグという。デバッグをサポートするツールとしてデバッガがある。

デプレッション型FET

depletion-type FET
ノーマリオン型FET(Normally-on FET)ともいう。FET(電界効果型トランジスタ)で、ゲート電圧が 0Vでもドレイン電流が流れるタイプのトランジスタ。
 → エンハンスメント型FET

デポジション

deposition
堆積。IC 製造工程では薄膜を堆積する工程をいう。気相成長法(PVD、CVD、真空蒸着やスパッタリング法)を用いる。このほか膜形成の手段には、印刷法、スピンコート法、メッキ法などもある。かつては印刷法(スクリーン印刷)は厚膜形成、蒸着法などは薄膜形成と分かれていたが、最近ではスクリーン印刷法でも金属薄膜が形成できるようになった。
 → CVD、PVD

デュアルダマシン

dual-damascene
 ⇒ ダマシン法

デューティ比

duty ratio
デューティともいう。クロックなどの信号の周期に対する「High」や「Low」の時間の比率。「High」、「Low」の時間が同じならデューティ比は50 %となる。

デルタシグマ型A-D変換器 (ΔΣ型A-D変換回路)

delta sigma A-D converter
デルタシグマ(ΔΣ)変調を用いてアナログ信号をデジタル信号に変換するA-D変換回路。ΔΣ変調とは、ナイキスト周波数以上の周波数でサンプリング(オーバーサンプリング)したアナログ信号の差分(微分)を積分し、量子化後、負帰還をかけて変調すること。量子化雑音を低く抑えることができ、高分解能化に適している。
 → A-D変換器、パイプライン型A-D変換器、フラッシュ型A-D変換器

電気自動車

electric vehicle
電気エネルギーで走行する自動車。通常は、バッテリ(蓄電池)の蓄えた電気で、モータを駆動させ走行する。走行騒音を大幅に低減でき、排気ガスも放出しないため、地球環境に優しいクリーンな自動車として注目されている。バッテリに外部から直接充電する方式、燃料電池方式、エンジンと併用するハイブリッド方式などがある。
 → HEV、PHEV、燃料電池自動車

電子ビーム露光

electron beam exposure
電子線露光、EB 露光ともいう。IC 製造工程でウェハ上に塗布したレジスト(感光性樹脂)にパターンを描画する露光法の一つ。マスク作成にも利用されている。電子ビームの走査方式(描画方式)には、ラスタ走査方式とベクタ走査方式がある。ベクタ走査方式には電子ビームの形状を矩形や三角形にして、スループットを高めた可変成型電子ビーム露光装置がある(マスク描画用)。また、ウェハ上に直接、電子ビームでパターンを露光する電子ビーム直接描画(ML2:Mask-Less Lithography)装置には、シングルコラム(鏡筒)のブロック露光方式とマルチビーム方式がある。マルチビーム方式にはマルチビーム可変矩形方式や電子源をマトリクス状に配置した面状電子源方式、大面角に配置した並列ビームを同時に走査するCLA(Correction Lens Array)方式などがある。さらに、マスクを使う電子ビーム直接露光装置には低加速電子ビームを使う等倍露光方式( PEL:Proximity EB Lithography)と、縮小マスクを使うEPL(EB Projection Lithography)とがある。
 → リソグラフィ

統計的工程管理

statistical process control
 ⇒ SPC

統計的なゆらぎ

macroscopic fluctuation/statistical fluctuation
微細な大規模ICにおいて、不規則に発生する素子特性のばらつき(ゆらぎ)。微細化の進展によって、従来は無視できたような製造上の加工ばらつき(素子形状や不純物分布など)が、先端デバイスでは素子特性に影響するなど、問題として顕在化してきている。

動作周波数

clock frequency
回路を動作させる周波数のこと。クロックに同期して動作する回路の場合は、クロック動作周波数とも言う。A-D変換回路の場合は、一般的にサンプリング用のクロック周波数を指す。

銅配線

copper wiring
IC の配線材料としてはアルミニウム(Al)が一般的である。これを銅(Cu)に変えると、アルミニウムに比べて電気抵抗率が約半分となり、配線遅延が少なく、高速化が可能となる。このためMPUなど高速のIC配線材として採用されている。

ドーパント原子

dopant atom
物質に所望の特性を持たせるため、故意に添加する不純物原子のこと。半導体では、伝導型によって、n型となるものをドナー、p型となるものをアクセプタと称する。

ドーピング

doping
半導体の製造工程では、純粋な半導体にごく少量の添加物を混入(添加)することをいう。添加物は通常、不純物(ドーパント)と呼ばれ、ドープされた半導体を不純物半導体と呼ぶ。添加物の種類と濃度によってさまざまな性質の半導体を形成する。添加物には、ドナーと呼ばれるX族の元素(リン、ひ素、アンチモンなど)と、アクセプタと呼ばれるV族の元素(ホウ素、ガリウム、インジウムなど)がある。ドナーをドープすると、自由電子が増え、n 型半導体となる。一方、アクセプタをドープすると、電子の欠乏による正孔が発生し、p型半導体となる。
 → pn 接合

トップダウン設計

top down design
IC の設計工程で、上流から下流、つまり抽象度の高さの順に、仕様レベル→機能レベル→論理レベル→トランジスタ回路レベル→マスクレベルというように段階的に設計を進めることをいう。これに対して、マスク設計でトランジスタ性能を考慮して、小さなセルから設計を始め、より大きなモジュール、さらにブロックまでを設計することを「ボトムアップ設計」という。

ドライエッチング

dry etching
 ⇒ エッチング

トリミング

trimming
微調整という意味で使われる場合が多い。テレビなどのアナログ回路では、部品の特性誤差による電圧や電流の誤差を半固定の抵抗器やコンデンサで調整する。また、基板上に作った薄膜や厚膜の抵抗器などを、レーザ光やサンドブラシで抵抗値を規定の範囲内に収めることをいう。

トンネル効果

tunnel effect
あるエネルギー粒子がそのエネルギーより高いエネルギー障壁を透過する現象。エネルギー障壁の幅が原子・分子レベル(ナノメータ)に近づくにつれ、この現象は顕著になる。

ナ行TOP
ナノインプリント

nanoimprint
微細加工技術の一種で、ナノメータ級の凹凸状パターンを鋳型に形成し、この型を基板上の高分子材料(樹脂など)に押し付け、形状転写することでパターニングを行う。転写には光硬化式と熱式がある。装置価格が従来の露光装置よりも安価で、しかも微細パターンの加工が可能である。低コストでデバイスを生産できる可能性から注目が高まっている。

ナノテクノロジ

nanotechnology
ナノメートルサイズで一定の機能を持つものを構築する技術。1ナノメートル(nm)は10 億分の1m。原子5 個分程度の大きさに相当する。

鉛フリーはんだ

Lead(Pb)-free solder
鉛(Pb)フリーともいう。これまで、はんだには古くから錫と鉛の合金(Sn-Pb)が使われてきた。量産性・信頼性に優れるためである。しかし、環境や人体に有害となる鉛を削減しようという狙いから、Sn-Pb 系合金に替わるはんだ合金が開発されている。錫と銀と銅の合金(Sn-Ag-Cu)、錫と銀とインジウムとビスマスの合金(Sn-Ag-In-Bi)、錫と銅とニッケルの合金(Sn-Cu-Ni)、錫と亜鉛とビスマスの合金(Sn-Zn-Bi)などがあり、なかでもSn-Ag-Cuが多くの基板実装に用いられている。しかし、組成によってはんだ付け温度が高くなったり、はんだ付け不良防止のために不活性雰囲気のプロセスを用いるなど、実装技術に工夫が求められる場合もある。

二酸化シリコン

silicon dioxide
一般に「酸化膜」という。シリコン酸化膜ともいう。シリコン(Si)と酸素(O2)の化合物(SiO2)で、非常に安定な膜である。IC のMOSトランジスタのゲート絶縁膜やその他さまざまなところで用いられる。
 → SiO2、プレーナ特許

日本半導体製造装置協会(SEAJ)

Semiconductor Equipment Association of Japan
1985年3月に国内大手半導体製造装置メーカーが発起人となって設立された、半導体およびフラットパネルディスプレイ(FPD)製造装置関連企業を主な会員とする団体。統計調査、業界の課題、新技術に関する調査、各種セミナー、講演会の開催、標準化の推進など幅広い活動を展開している。

熱CVD

thermal chemical vapor deposition
CVD の一つ。熱化学的気相成長法ともいう。ウェハや膜堆積用材料ガスを200 〜 900 ℃に加熱し、イオンラジカルなどを発生させてウェハと化学反応を起こし成膜する方法で、成膜時の圧力によって、常圧CVD、減圧CVDに分類される。
 → CVD

ネットリスト

net list
ゲート情報、およびゲート間の接続情報が記述された回路データ。ネットリストを用いてASIC のマスクパターン設計やFPGAへの回路形成を行う。
 → ASIC、FPGA、レイアウト設計

燃料電池自動車

fuel cell vehicle
FCEV(Fuel Cell Electric Vehicle)ともいう。水素と酸素の化学反応によって生じるエネルギー(燃料電池)を動力源とする自動車。この反応によって排出されるのは水(水蒸気)だけであり、クリーンで、高い発電効率であるため、地球温暖化問題の解決策としても期待されている。

ノンパンチスルー型IGBT

non punch through type IGBT
厚いベース層をもち、オフ状態で空乏層がコレクタ側に届かない構造のIGBT。高速性と破壊耐量に優れた特性をもつ。
 → パンチスルー型IGBT

ハ行TOP
パーティクル

particle
微粒子のこと。塵埃。IC 製造の拡散工程において、パーティクルは大敵である。パーティクルの存在はICに構造欠陥を生じ、特性・信頼性の劣化、歩留りの低下を引き起こす。
 → クリーンルーム

ハードウェア記述言語(HDL)

hardware description language
IC の回路設計のために使われるプログラミング言語。設計効率化のために、上流設計で使われる。1980 年代から使われるようになった。代表的なHDLには、VHDL( VHSIC Hardware Description Language)とVerilog-HDLがある。
 → Verilog-HDL、VHDL

ハードマクロ

hard macro
回路接続情報(ネットリスト)で登録されているセル。デバイスの種類、デザインルールなどのプロセス技術に依存するので設計の自由度には欠けるが、配置配線の最適化などを行うことでチップ面積は小さくできる。従来からの設計資産(半導体IP)のほとんどはハードマクロである。
 → IP、ネットリスト

ハーフトーン型位相シフトマスク

half-tone phase-shifting mask、 attenuated phase-shifting mask
光の波長に近いパターンを露光するときに使うフォトマスクの一つ。遮光部が半透明膜になっているマスク。半透明膜を通過した光は、光透過部を通過した光に対して強度が小さくなり、位相も変わる。結果として、ウェハ上でパターンエッジの光の強弱が明確になり、解像度と焦点深度(DOF:depth of field)が向上する。i 線(波長365nm)の時代から採用されている。位相を変える材料(シフタ)として、半透明のフッ素やタンタル(Ta)、モリブデン(Mo)系の複合材料を用いる。なかにはTaSiOx/Ta の2 層構造の位相シフタで透過率と位相を独立に制御するマスクなども開発されている。位相シフトマスク(PSM)には、「レベンソンマスク」(Alternative-PSM)などもある。
 → 位相シフトマスク、光学近接効果補正、超解像、レベンソン型位相シフトマスク、リソグラフィ

ハーフピッチ

half pitch
ピッチ(線幅+線間隔)の1/2。ITRS(国際半導体技術ロードマップ)の2005 年版からは、IC の技術世代を表す単位として採用した。DRAMとMPU/ASICでは、最下層の金属配線のハーフピッチを、NAND型フラシュメモリでは、セルアレイ内の多結晶シリコンのワード線のハーフピッチをいう。これまでは、DRAMのハーフピッチを「技術ノード」としていたが、今後はこの表現は使わないことになった。

バーンイン

burn-in
半導体の初期不良を除去する選別手法の一つ。温度や電圧を印加し、動作させた状態で行う加速試験。

バイオメトリックス

biometrics
生体のもつ固有の特徴(身体的な特徴)を利用して、本人であるか、そうでないかの認証を行う技術。指紋や声(声紋)、顔、虹彩(アイリス)のように人それぞれ異なる要素を「鍵」にするため、偽造が難しく、盗難もほぼ不可能という特徴をもつ。最近では静脈(血管)パターンや網膜、DNA(遺伝情報)を使うものまで技術の幅が広がっている。

パイプライン

pipeline
各演算ユニットが独立して動作できるようにし、次々に命令を投入・並列実行する方式。通常のプロセッサ(逐次実行方式)では、命令の取り出し(Fetch)→解釈(Decode)→実行(Execute))を順次行うことで処理を行う。一つの命令がこれら全てのステップを終えてから次の命令を実行する。
 →スーパーパイプライン

パイプライン型A-D変換器

pipelined A-D converter
低分解能のA-D変換回路を多段用意してパイプライン動作で段階的に量子化するA-D変換回路。1クロックで複数の処理を進めることができる。パイプラインの各ステージには、D-A変換回路、サンプルホールド増幅器、減算増幅器などが集積されている。
 → A-D変換器、デルタシグマ型A-D変換器、フラッシュ型A-D変換器

ハイブリッドIC

hybrid IC
混成集積回路ともいう。受動素子と能動素子が集積されている。導体や抵抗パターンの形成方法によって、薄膜ハイブリッドICと厚膜ハイブリッドICに分かれる。基板はセラミック系と樹脂系がある。「モジュール」という場合もある。
 → MCM

バイポーラトランジスタ

bipolar transistor
電子と正孔の両方をキャリア(運び役)として利用するトランジスタ。npn 型とpnp 型の2 種類がある。エミッタ、ベース、コレクタの3 電極があり、ベース電極に流す電流によってエミッタとコレクタ間の電流を制御する。増幅に適し電流駆動能力が大きい。トランジスタ単体として、またバイポーラIC の中で広く使用されている。

白色LED

white light-emitting-diode
波長が異なる複数の光を重ね合わせて白色の光を出力するLED(発光ダイオード)のこと。重ね合わせの方法には大きく 2 種類ある。青色LEDや近紫外LEDの光を蛍光体材料に当てて混色して白色光を作り出すものと、,赤、緑、青の各LEDを同時に光らせて混色するものとがある。当初は、携帯電話機のバックライト光源での採用が進んでいた。最近は、照明向け白色LEDが注目されている。
 → 発光ダイオード

パシベーション

passivation
半導体素子の表面保護膜を作る工程。この表面保護膜をパシベーション膜という。通常、ウェハ工程の最後に行われ、パッケージング工程および使用環境から半導体素子を機械的、化学的に保護する。酸化膜や窒化膜が用いられる。

バス

bus
CPU、メモリ、周辺回路の間などで、データ転送を行うための共通の信号経路。転送される情報の種類によって、アドレスバス、データバス、コントロールバスに大別される。

パターンレイアウト

pattern layout
物理形状のパターン(四角形やポリゴンなど)をつかった配置配線の設計工程。IC の回路図や論理回路図を基にしてICチップ内にトランジスタ、FET、ダイオード、抵抗、コンデンサなどの各種部品を配置し、各部品相互間の配線経路を定める工程のことをいう。大規模ICになると各種部品の配置および配線は、チップの性能やサイズを左右するため、困難さと非常に多くの時間を要する。このため各種の自動レイアウトツールが開発され、使われている。さらにチップ面積を小さくしたい場合は、設計者がレイアウトツールを使いながら最適な配置を決定する。 → 物理設計

バックエンドプロセス

back end process(BEP)
半導体の前工程(ウェハ工程)では、上地(うわじ)工程のことをいう。素子を相互に接続するための配線あるいは電源やグランド(接地)用の配線構造を作る工程。層間絶縁膜形成、デュアルダマシン構造、パッド形成などががある。これに対して、トランジスタなどの素子を作り込む工程のことを下地(したじ)工程またはフロントエンドプロセス(FEP)という。
 → フロントエンドプロセス

発光ダイオード

light emitting diode
LEDともいう。光を放射するダイオード。pn接合をもつ。順方向に電圧を加えると、pn接合部で電子のもつエネルギーが効率的に光に変わる。半導体の種類と添加する材料によって、光の色(波長)が決まる。GaAs系は赤外から赤、GaN系は緑または青色となる。寿命が長く、消費電力も少ない。高輝度の製品が開発され、照明やディスプレイに広く利用されている。
 → 白色LED

パッド

pad
 ⇒ ボンディングパッド

バッファメモリ

buffer memory
複数の回路、機器、ソフトウェア間などで相互にデータを送受する場合、データ処理や転送の遅延を最小化するために、一時的にデータ保存しておくためのメモリ。

パテントプール

Patent pool
特定のテクノロジーに関連した特許のクロスライセンス契約に合意した2つ以上の企業によるコンソーシアム。特許権所有者とライセンシーの時間と金を節約すると同時に、複雑に関連した特許群においては、その発明を実用化するのにパテントプールが唯一の妥当な方法になる場合もある。

パワーインテグリティ

power integrity
回路の電源系の品質のこと。配線のIRドロップ(電流・抵抗による電圧降下)や、エレクトロマイグレーションに起因する断線、またグランドバウンス(回路の動作によって発生する接地電位の揺らぎ)や同時スイッチングによる電源ノイズを抑えることを指す。
 → エレクトロマイグレーション、IRドロップ

パンチスルー型IGBT

punch through type IGBT
薄いベース層をもち、オフ状態で空乏層がコレクタ側に届く構造のIGBT。低損失特性に優れた性質をもつ。
 → ノンパンチスルー型IGBT

反転素子

inversion element
代表的なのは、金属−絶縁体−半導体のMIS構造などで、金属に電圧を印加することで、半導体中の少数キャリヤが接合面に引き付けられ、電界効果による反転を起こす素子。MOS FETのゲートなどに用いられ、反転層が形成されると、ソース−ドレイン間をキャリアが移動できるようになり、電流が流れる。

半導体

semiconductor
金属のように電流が流れやすい「導体」と、ガラスのように電流がほとんど流れない「絶縁体」との中間の電気伝導性をもつ物質。電圧をかけたり、光を当てたり、熱を加えたりすることで、電気を流したり流さなかったりする性質がある。負の温度係数をもつ。また、不純物の微量な添加によって電気伝導度を制御できる。こうした特性から、半導体はトランジスタやICだけでなく、半導体レーザや各種センサなど、多くの電子デバイスに使われている。
 → 真性半導体

反応性イオンエッチング

reactive ion etching
 ⇒ RIE
 → エッチング

バンプ

bump
IC の電極部にメッキで形成した突起のこと。通常、金(Au)またははんだの電気メッキで形成し、TABやフリップチップにおける基板接続のために使用する。
 → TAB、フリップチップ

汎用IC(IC)

general purpose integrated circuit
用途を特定しないIC(IC)。標準的な論理ICなどがある。
 → ASIC

ピエゾ素子

piezoelectric device
 ⇒ 圧電素子

光CVD

photo chemical vapor deposition
 ⇒ CVD

ピクセル

pixel
画素。ディスプレイや撮像素子の機能単位。カラー表示の赤(R)、緑(G)、青(B)のそれぞれを1ピクセルという。pix(pic:画像)とelement(要素)の合成語。動画ではペル(pel)ということもある。
 → CCD、画素

ひずみシリコン

strained silicon
格子定数の違いによって生じるシリコン結晶格子の歪(ひずみ)を利用して半導体の電子または正孔移動度を向上させる技術。シリコンと格子間隔がわずかに異なる物質(SiGeなど)をシリコンに積層して、物理的にシリコンに引っ張りあるいは圧縮応力を発生させ、結果的に移動度向上に寄与する現象を利用している。これをMOSトランジスタのチャネル層シリコンなどに応用したものを、歪シリコントランジスタなどと呼んでいる。
 → SiGe

ビット

bit
デジタルデータの最小単位。Binary Digit(2 進数字)の略。2 値は一般に“0”と“1”で表記する。

ビット線

bit line
メモリICにおいて、格子状に配列されたメモリセルの中から、書き込み/読み出しを行うセルを選択するための信号線。メモリセルトランジスタのドレイン電極に接続されている。
 → ワード線

ビヘイビアモデル

behavior model
実際の回路やデバイス特性を、等価回路で表現するモデリング方法のこと。複雑な回路をトランジスタレベルで再現することなく、コンパクトに表現できるため、シミュレーション効率の向上が可能となる。マクロモデル、等価回路モデルとも言われる。

比誘電率

relative dielectric constant
物質の空気に対する誘電率の比のこと。真空の誘電率をεo、物質の誘電率をεとすると物質の比誘電率はεr=ε/εoで表わされる。
 → 誘電率

評価ボード

evaluation board
電子機器を開発する場合に、これから利用するICやASICをボード上に組み上げて、ソフトウェアを読み込み、所望の機能や性能が得られるかどうかを評価する。このために作成されたプリント回路基板(ボード)のこと。

表面実装

surface mount
IC や電子部品をプリント配線板などの基板表面に装着(実装)する形態または技術。SMT(Surface Mount Technology)ともいう。
 → SMD、SMT、挿入実装

表面プラズモン

surface plasmon
金属表面を走る量子化されたプラズマ波のこと。金属内の自由電子は、集団で動く時に粗密な領域ができる。そのために電気的な中性が破れ、元に戻ろうとする力によって振動を起こす。この振動が波として伝播する状態をプラズマ波という。一般的に光は金属中の電子とは相互作用しないが、金属表面では、ある条件下でプラズマ波を量子化した状態(表面プラズモン)と相互作用する。このために反射光を測定して表面の電子状態を分析することに良く利用される。

ファームウェア

firmware
特定のハードウェアの基本処理動作を記述したソフトウェア。ハードウェア(ROM)に組み込まれる場合が多い。ハードウェアとの対応が極めて強く、変更が少ないため、ハードなソフトウェアという意味でファームウェアと呼ばれる。
 → ミドルウェア

ファウンドリ

foundry
ファブレスメーカやIDMから半導体の設計データ/マスク/製造プロセス条件などを入手して、前工程の製造を行う半導体メーカの形態。先端投資を積極的に行い、製造技術で優位性を発揮することで、ビジネスを拡大している。シリコンファウンドリとも呼ばれる。
 → IDM、ファブレスメーカ

ファブライト

fabrication-light
アセットライトともいう。設備投資の負担を軽減して経営の自由度を向上させる半導体ビジネスの形態。製造設備を100%自社で保有せずに、多くの部分をファウンドリ企業などに製造委託する。

ファブレスメーカ

fabless maker
自社で製造工程(FAB:Fabrication Process)をもたず、マーケティング、開発、セールスだけを行う半導体メーカの形態。製造はファンドリなどの他の半導体メーカに委託し、マーケティングや開発、セールスにリソースを集中する。製造工程への膨大な設備投資が不要で、優れたアイデアと設計能力でビジネスを行うことができる。ファブレスとも呼ばれる。
 → IDM、ファウンドリ

ファンアウト

fan out
出力端子の駆動能力値。一つの論理回路出力端子に接続できる次段の論理回路数をいう。一つの論理回路の出力端子に接続できる次段の論理回路の入力の数をnとする場合、論理出力端子のファンアウト値はn であるという。ファンアウトの逆のものをファンインという。

フォトエッチング

photo-etching
写真の原理を利用した微小加工技術。方法自体は印刷用の写真製版作成に古くから用いられているものと同じ。IC や微細部品の製造には不可欠なもので、高精度が要求される。たとえば、基板をエッチング加工する場合、基板にフォトレジスト(感光性樹脂)を塗布する。その後、マスクを用いて露光、現像過程を経て、基板上にフォトレジストパターンを形成する。この後、基板をエッチングする、これがエッチングマスクとなる。これら一連の工程をフォトエッチングという。

フォトダイオード

photo diode
pn接合に効果的に光が当たるようにして、光伝導電流を流れやすくしたダイオード。光を電気信号に変換するために、CCDやCMOSイメージセンサの受光部に用いられる。pn接合が表面に露出しないようにした埋め込み型フォトダイオードは、暗電流が少なく残像を防止できる。
 → CCD、CMOSイメージセンサ

フォトマスク

photo-mask
レティクルという場合もある。IC 製造工程でステッパなどによってウェハ上にマスクパターンを転写する露光工程で使用される。石英製の板表面にクロムなどで一定の回路パターンを形成したもの。
 → リソグラフィ、露光

フォトリソグラフィ

photo-lithography
光を用いた写真食刻技術。
 → リソグラフイ

フォトレジスト

photo-resist
感光性樹脂の一つ。フォトレジストにマスクを使って回路パターンを露光・現像しパターン転写を行う。ポジレジストとネガレジストがある。

負帰還

negative feedback
増幅回路の出力信号の一部を入力に戻して、入力信号と逆位相で合成する手法。一般に安定した増幅率を得る場合に用いる。なお同位相で合成することを正帰還という。

不揮発性メモリ

nonvolatile memory
電源の供給がなくてもデータを記憶しているメモリ。マスクROM、EPROM、フラッシュメモリ、FeRAM、MRAMなどがある。これらに対して、電源を供給し続けないとデータが消えてしまうメモリを揮発性メモリという。
 → EEPROM、EPROM、FeRAM、MRAM、揮発性メモリ、マスクROM

物理設計

physical design
IC設計の工程のひとつ。トランジスタや配線などを、物理的な構成要素に対応させる設計工程のこと。通常、レイアウト設計とマスク設計の両方を含む。ICの機能を実現するトランジスタ回路と配線は、金属層、拡散層、多結晶シリコン層などの上に作られた多角形(ポリゴン)の重ね合わせで実現されている。

物理的気相成長法

physical vapor deposition
 ⇒ PVD

浮動小数点

floating-point
コンピュータが数値を扱うときの表現手法の一つ。数値を、各桁の値の並びである「仮数部」と、小数点の位置を表わす「指数部」で表現する方法。仮数部に、底を指数でべき乗した値をかけて実数を表現する。表現できる数値の範囲が広いため、科学技術計算などに向いている。小数点に関する処理が必要になるため、特定の位置に小数点を固定している固定小数点数に比べると、計算速度は遅い。表現できる数値の幅に応じて、単精度実数(一つの数値を32ビットで表現する浮動小数点のこと)や倍精度実数(一つの数値を64ビットで表現する浮動小数点のこと)などの種類がある。
 → 固定小数点

歩留り(ぶどまり)

yield(rate)
半導体の製造工程における良品率をいう。投入ウェハ枚数に対する完成良品ウェハ枚数の比率を表す工程歩留りや、1ウェハ当たりのチップ収量数に対しウェハテストで残った良品数の比率を表すチップ歩留りなどがある。一般に歩留りという場合、チップ歩留りを指すことが多い。

プラズマCVD

plasma chemical vapor deposition
CVD(Chemical Vapor Deposition:化学的気相成長)の一つ。チャンバ(反応容器)内を減圧し、高周波をかけてプラズマを発生し、原料ガスの原子や分子を励起・反応させて成膜する。
 → CVD、MOCVD、熱CVD、光CVD

プラズマエッチング

plasma etching
 ⇒ エッチング

ブラッグ反射

Bragg reflection
結晶中にX線や電子線などが入射するとき、ある特定の方向に反射を起こす干渉現象。結晶内部には周期的な原子構造配列があるため、ある角度(全反射を起こす入射角より大きい)で入射したX線や電子線が、各原子層で反射する際、位相が一致していれば干渉して、互いに強め合うことになる。これをブラッグ反射と呼んでいる。

フラッシュメモリ

flash memory
記憶情報を全ビットあるいはブロック単位で電気的に一括消去できるメモリ。セル構成が簡略なため大容量化が可能で、ビット当たりのコストを低く抑さえることができる。なお、EEPROM(Electrically Erasable and Programmable ROM)は、バイト単位で記憶情報を消去する。
 → EEPROM

フラッシュ型A-D変換器

flash A-D converter
分解能に応じた数の電圧比較器を用いて、アナログ信号と参照電圧を比較し、量子化するA-D変換回路。1ステップで変換できるため、高速化に適している。
 → A-D変換器、デルタシグマ型A-D変換器、パイプライン型A-D変換器

プラットフォーム

platform
共通基盤のこと。半導体分野では、システムLSI やアプリケーションソフトを短期間に開発できるよう半導体メーカが提供する開発環境をいう。ハードウェアとファームウェア、ソフトウェアの統一のアーキテクチャを構成し、共通ルールに基づいて設計・開発することで、設計資産の再利用性を高め、大幅な設計生産性の向上、設計期間の短縮が可能になる。なお、コンピュータの分野ではハードウェアプラットフォームとして汎用コンピュータやワークステーション、パソコンなどを指し、ソフトウェアプラットフォームは基本ソフトウェア(OS)のことをいう。

フリップチップ

flip chip
IC チップ表面部の電極にバンプと呼ばれる突起電極があるチップ。
 → バンプ

プレーナ特許

planar patent
シリコン(Si)単結晶表面の安定な酸化膜(SiO2)をトランジスタの保護膜に使うという特許(J. A.Hoerni、1959 年)。接合部を終始、酸化膜で覆ったままトランジスタを作る工程を示した(プレーナトランジスタ)。それまでは露出した接合部をいかに安定させるかが大きな課題だった。酸化膜に開けた窓(孔)から電極を引き出し、各トランジスタを相互につないで集積回路(IC)としたのがプレーナ集積回路特許(R. A. Noyce、1959年)。なおSiO2はMOSトランジスタのシリコン−酸化膜−電極という構造でも重要な働きをする。

ブレッドボード

bread board
IC、能動部品などの電子部品を用いて、目的とする機能を実現するための回路を仮に組み立てて、ハードウェア的に機能を検証するためのツールをいう。語源は「パン切り台」。ソフトウェアによるシミュレーションでは検証しきれない機能(ハードウェアの性能に依存したもの)のために製作するのが一般的である。また、ソフトウェアによるシミュレータに比較して、実行速度が速いという利点がある。

フロアプラン

floor plan
ICの物理設計で、面積を最小化したり、タイミング制約を満たしたりするために、回路ブロックの概略配置を決める工程。
 → レイアウト、レイアウト設計、パターンレイアウト

ブロードバンド

broadband
広帯域のこと。高速な通信回線の普及によって実現される次世代のコンピュータネットワークと、その上で提供される大容量のデータを活用したサービス。光ファイバやCATV、xDSLなどの有線通信技術や、無線LAN、IMT-2000といった無線通信技術を用いて実現される、おおむね500kビット/秒以上の通信回線をいう。これに対して電話回線やISDN 回線による数十kビット/秒の回線をナローバンドという。

プロービング

probing
ウェハレベル(チップをウェハから切断・分離する前)で、半導体デバイスの電気的テストを行うこと。チップのボンディングパッドと電気的に接触するのに、金属の探針(プローブ)を使用することからこの名前がついた。チップのボンディングパッドに電気的に接触し、不良チップにマーキングを行い、次の工程の処理を行わないようにする。

プログレッシブ

progressive
テレビやディスプレイで、画面の1フレームの表示を1 回の走査で行うこと。これに対して、1フレームを奇数段目と偶数段目の2 回の走査(2フィールド)で行う方式をインタレースという。コンピュータのディスプレイは静止画や文字を表示することが多く、インタレース方式だとちらつきが生じるため、ほとんどはノンインターレース(プログレッシブ)方式である。
 → I-P変換、インタレース

プロセスフロー

process flow
IC 製造でウェハからスタートし、ICが作りこまれるまでの一連のプロセス工程の流れ。
 → プロセス設計

プロセス設計

process design
デバイス設計で決められたIC の3 次元的構造、構成要素素子、基本電気特性などを、IC 製造の各プロセスステップにおいてどのような装置や手順で作るかその方法を決める設計。これは、個別プロセスの設計と、それら個別プロセスの組み合わせからなるプロセスフロー設計から成り立つ。
 → プロセスフロー

プロパティ検証

property verification
ICの設計工程で行なわれる静的な回路検証手法の一種。設計データが回路の動作要求仕様(プロパティ)を満たしているかどうかを数学的に検証する。

プロパテント政策

pro-patent policy
知的財産の権利取得と保護を強化するための施策。特許重視政策。

フロントエンドプロセス

front end process(FEP)
IC 製造工程(ウェハ工程)では、シリコン単結晶基板を対象としてトランジスタなどの素子を作り込む下地(したじ)工程のことをいう。ソース/ドレインやゲート酸化膜、コンタクトホールの形成工程がこれに相当する。これに対して、それらの素子を相互に接続するための配線あるいは電源やグランド(接地)用の配線構造を作る工程のことを上地(うわじ)工程またはバックエンドプロセス(BEP)という。
 → バックエンドプロセス

ベアチップ

bare chip
パッケージに入っていない裸の半導体チップ。ウェハから切り出したばかりのICチップ。パッケージに入っていないことを強調するときに使う。
 → KGD

平坦化技術

planarization technology
エッチングやデポジション(成膜)を繰り返す半導体製造工程で、ウェハ表面の凹凸をなくして平らな表面形状を得る技術。代表的なものに化学的機械的研磨(CMP)がある。多層配線で重要な技術。
 → CMP、多層配線

変形照明技術

off-axis illumination
露光装置で、解像度や焦点深度を向上させるために、光軸の中心を外した位置に絞りを入れ、フォトマスクに対して露光光束を斜めに入射させる照明方法。斜入射照明(Oblique Illumination)ともいう。通常はマスクに垂直に光を入射する。変形照明アパーチャとして、輪状に光を透過させる輪帯照明(Annular Illumination)や4 極照明(4 つの円状の孔から光を透過させる)などがある。

ホールIC

hall IC
磁界と垂直な方向に移動する電荷が、移動方向と磁界の両方に垂直な方向にローレンツ力を受けること(ホール効果)を利用し、マグネットの磁力を電気信号に変換する磁電変換IC。センサ部(ホール素子)と周辺回路(増幅回路やシュミットトリガ)が同一パッケージに形成されており、マイコンなどに直接ロジックレベルで入力できる。ホールICは、FDDのインデックスセンサ、モータの回転数検出、ブラシレスモータのコイル通電相切換センサ、テープレコーダのオートリバース/オートストップセンサなど多彩な分野で使用される。

ホットキャリア

hot carrier
ホットエレクトロンともいう。半導体中を走りながら大きなエネルギーを得た電子や正孔のこと。このキャリアがゲート酸化膜に飛び込み、しきい電圧などを変化させるなど特性の不安定要因となる。チャネル長が短くなって顕著になってきた。電源電圧を下げるとある程度改善できるが、動作速度が遅くなる。このため、ソースやドレイン、チャネル領域の不純物濃度のプロファイルを工夫して、ホットキャリアの発生を抑える。この一つがLDD(Lightly Doped Drain:MOSトランジスタのソース/ドレイン構造の一つ)である。
 → LDD

ポリサイド

polycide
ゲート電極構造の一つ。プロセスの微細化に対応したゲート抵抗の低抵抗化のために用いる。ポリシリコン(多結晶シリコン)とCVDあるいはスパッタリングで形成したシリサイド(ケイ素化合物)を重ねた構造。タングステンシリサイド(WSi2)、コバルトシリサイド(CoSi2)、チタンシリサイド(TiSi2)などがある。

ボンディングパッド

bonding pad
チップへの電源電圧の供給や外部との信号のやりとりは、通常リード線を介して行われる。このリード線と内部回路の各端子との接続のため、チップ周辺部に設けられた金属電極をボンディングパッドという。

マ行TOP
マイクロコントローラ

microcontroller
 ⇒ MCU

マイクロコンピュータ

microcomputer
単に「マイコン」ともいう。  ⇒ MCU、MPU

マイクロプロセッサ

microprocessor
 ⇒ MPU

マイクロマシン

micro machine
 ⇒ MEMS

前工程

wafer process
 ⇒ ウェハプロセス、フロントエンドプロセス(FEP)
 →後工程

マスク

mask
 ⇒ フォトマスク

マスクROM

mask read only memory
ユーザの要求するメモリデータに従って、ICメーカで製造工程中にフォトマスクを使ってメモリセルに“1”または“0”を書き込むROM。マスクROMは他のメモリに比べてメモリセルの面積が小さく、大容量化も進んでいて安価にできる。ただし、書き換えができない。
 → PROM

マスタースライス

master slice
特定用途向けIC(ASIC)の一種。機能別に分けた回路が作り込まれている下地部のこと。用途に応じて配線を形成することで、異なった機能を実現できる。
 → ASIC

マルチコア

multi-core
多様な機能を高速に実現するために、複数のプロセッサを搭載したシステムまたはパッケージ。Multicore Processorともいう。複数のプロセッサコアで処理を分担する。各プロセッサコアは基本的に独立しているが、2 次キャッシュなどは共有するものが多い。たとえば携帯電話機では、通信処理など電話機能を担当するプロセッサと、画像や音楽を処理するプロセッサ(DSPなど)を搭載している。数百以上のCPUコアを搭載したプロセッサをメニーコア(Many Core)という。
 → メニーコア

マルチホップ

multi hop
あるノードが他のノードと無線通信を行う場合、直接通信を行わず、少なくとも一つ以上の中間ノードを経由する方式。電波が直接届く1ホップの範囲内だけでなく、複数の無線中継器を介して、複数のホップで通信が可能になるので、より大規模・広範囲のネットワークが構築できる。
 → ZigBee

ミックスドシグナル

mixed signal
アナログデジタル混在回路。
 → アナデジIC

ミドルウェア

middleware
データ圧縮/伸長機能、音声認識/合成機能など、特定の機能を各種OS(Operating System)上で動作させるためのソフトウェア。アプリケーションソフトとOSの中間にあるという意味でミドルウェアと呼ばれる。
 → ファームウェア

ムーアの法則

Moore's law
IC に集積可能なトランジスタの数は,約3 年で4倍に増えるという技術開発スピードに関する経験則。米国I n t e l 社の創始者の1 人,ゴードン・ムーア(Gordon Moore)によって1965 年に提唱された。この法則をMPU(Microprocessor Unit)に適用すれば,一つのMPUに集積される素子数は18 カ月ごとに2 倍になる。ムーアの法則は,コンピュータの処理能力やIC の集積密度がどのように向上していくかを予測する場合にしばしば引用される。

無機EL

inorganic electroluminescence
蛍光体を含む膜に交流高電界を加えたときに起きる電子の衝突励起による発光。発光体に硫化亜鉛(ZnS)などの無機物を使うことから無機ELという。
 → エレクトロルミネッセンス、有機EL

無線LAN

wireless LAN
電波や光(赤外線)などを利用したLAN(構内情報通信網)のこと。一般的には無線LANに対応したパソコンからアクセスポイントに接続して使用する。2.4GHz 帯や5GHz 帯を使う無線LAN「IEEE802.11a/b/g」などがある。
 → LAN

無線タグ

radio frequency tag
「ICタグ」または「RFID」(Radio Frequency Identification)とも呼ばれる。長波帯、短波帯、UHF帯、マイクロ波帯の特定の周波数が無線タグに割り当てられている。2mm角以下のチップが一般的であり、管理対象の製品などに貼り付けることにより、工程管理や、物流の管理が容易になる。
 → ICカード、NFC

命令列

instruction sequence
命令とは、マイクロプロセッサなどが実行する基本演算の手順のこと。複数の命令が連なったものを命令列という。プログラムはこれらの命令列で実現される。

メニーコア

many core
数百個のCPUコアを搭載したプロセッサのこと。今後、メニーコアによる高性能プロセッサの実用化が期待されている。
 → CPUコア、マルチコア

面方位

surface orientation
シリコン単結晶ウェハなどで、表面部分が有する結晶方位。MOS ICでは(100)面などが使用される。

モジュール

module
マイクロプロセッサなどでは、内部に搭載されたあるまとまりをもった機能を実現するハードウェア部品のことをいう。CPUコアもモジュールのひとつ。CPUコア以外のものを周辺モジュールと呼ぶこともある。また、ある特定の処理(画像処理や音声、音楽、暗号など)を専用に処理するものを専用モジュールと呼ぶこともある。
 → CPUコア、MCM

モノリシックIC

monolithic integrated circuit
半導体集積回路のこと。同一チップ上に構成されている集積回路。

ヤ行TOP
有機EL

organic electroluminescence
電極から電子と正孔(ホール)を注入し、有機固体内部で再結合させて、発光させる電流注入型の発光ダイオード。発光体に有機材料を使う。
 → エレクトロルミネッセンス、発光ダイオード、無機EL

誘電体

dielectrics
IC では絶縁体とほぼ同義語で使われる。IC で使われる代表的な誘電体は、シリコン酸化膜(SiO2)、シリコン窒化膜(Si3N4)などがある。コンデンサの主材料。

誘電率

permittivity, dielectric constant
誘電体において、電界を加えたときの電束密度(D)を電界(E)で除した値。誘電率をεで表わすと、D=εEで表される。
 → 比誘電率、誘電体

ユビキタス

ubiquitous
ユビキタスは「いたるところに存在する」(遍在)という意味。インターネットなどの情報ネットワークに、いつでも、どこでも、誰にでもアクセスできる環境を指し、場所にとらわれない働き方や娯楽が実現できるようになるという意味で使われる。

ラ行TOP
ラーニングカーブ

learning curve
習熟曲線ともいう。同じICの製造工程では、累計生産量が拡大するにしたがってコストが減少するという経験則。あるいは、その傾向を示す曲線。

ライフサイクルアセスメント

life cycle assessment
原材料の資源採取から、運搬、製造、流通、製品使用製品やサービス、廃棄、再資源化に至る、製品の一生における環境影響を、資源・エネルギーの投入量、環境負荷物質の排出量を把握し、定量的に評価する手法。LCAと略す場合がある。

ライブラリ

library
IC 分野では、基本論理ゲート(NOT、OR、NANDなど)や、これらを組み合わせてある規模にまとめた論理回路ブロック、あるいは設計・検証されたセル(基本機能回路)を登録・資産化した総体としてのデータベース。セルライブラリともいう。機能セルをあらかじめ設計しIC の構成要素部品として準備・資産化しておけば、実現すべき機能や性能に応じて、これらを適宜選択し組み合わせて使用することで、容易にICを設計できる。
 → IP(設計資産)

ラインセンサ

line sensor
エリア( 2次元)センサに対し、受光部をライン状(1次元)に並べたイメージセンサ。リニアセンサともいう。主に、FAX、コピー、スキャナ、バーコードリーダに用いられる。
 → エリアセンサ

ラッチアップ

latchup
トランジスタがオフになっても電流が流れ続ける状態。トランジスタ飽和からカットオフに切り換わっても、回路のコレクタ電圧が供給電圧に復帰せず、コレクタ特性のアバランシェ領域に安定点をもつ。ラッチアップは多くのICに見られる4 層pnpn 構造で発生する。とくにCMOS 回路は構造的に寄生npn/pnpバイポーラ(寄生サイリスタ)ができやすい。外来パルス雑音がトリガとなって、このサイリスタがオン状態となり、電源からグランド(接地)に大電流が流れて回路が動作しなくなる。

ラムバスDRAM

Rambus dynamic random access memory
米Rambus 社の提唱する高速DRAM。DirectRambus DRAM(RDRAM)ともいう。

リーダライタ

Reader Writer
各種メモリカード、ICカード、無線タグ用の読み出し/書き込み装置を、リーダライタと呼ぶことが多い。無線タグ用としては、ハンディターミナルに内蔵されているものの商品化も進んでおり、物流用途での使用環境も整備されつつある。
 → ICカード、無線タグ

リードフレーム

lead frame
チップを乗せる金属製の枠のこと。主に樹脂モールドタイプのパッケージに使う。素材はFe-Ni 系合金やCuなどを使用し、複数個のパターンを連結した形でエッチングまたはプレス加工して作る。

リコンフィギュラブル

reconfigurable
ユーザが要求に応じてシステム構成を変更できる(Programmable)、あるいは使用中にダイナミックに変更できるようにしたシステム。

リソグラフィ

lithography
マスクに描かれた回路パターンをウェハ上に露光転写する工程または技術。ウェハにレジスト(感光性樹脂)を塗布し、これにマスクのパターンを焼き付け、現像する。光の当たったところとそうでないところで、現像後にレジストが残る/残らないに分かれ、レジストの凹凸パターンとなる。この仕組みからリソグラフィ(石版)と呼ばれる。このレジストパターンを基に、ウェハに微細な加工を加える。これには、エッチング(削り取る)、デポジション(別の材料を堆積する)、ドーピング(適当な不純物をしみ込ませる)がある。紫外線を使う光露光(フォトリソグラフィ)、電子線露光、X 線露光などがある。
 → フォトエッチング

リチウムイオン電池

Lithium-ion rechargeable battery
代表的な構成では、負極に炭素、正極にリチウム遷移金属酸化物、電解質には有機溶媒+六フッ化リン酸リチウム (LiPF6) などのリチウム塩を用いる。Ni-Cd蓄電池やNiMH(ニッケル水素蓄電池)に対して、同じエネルギー量で比較すれば、より小型で軽量である。さらに、メモリ効果がないため、継ぎ足し充電を行っても電池の劣化がない。また、低温特性が優れ、他の二次電池ではほとんど動作しない−20℃でも使用できるものもある。なお電池単体をセルという。
 → Ni-Cd蓄電池、 NiMH(ニッケル水素蓄電池)

リファレンスボード

reference board
電子機器メーカの参考となる応用システムを搭載したプリント回路基板(ボード)のこと。市場で良く利用されるシステムを搭載し、ICを売り込むためにICベンダーが作成する。電子機器メーカが作成する独自システムの参照となるためにこの名がついた。

リフレッシュ動作

refresh
DRAMにおいて、メモリセルへ同じ記憶情報を、一定間隔で再書き込みする動作のこと。記憶素子であるキャパシタに蓄積された電荷は、その構造上、リークによって経時的に失われるため、同じ記憶情報を維持するために必要となる。

リフロー

reflow
表面実装部品のはんだ付けの一方法。基板にあらかじめはんだペーストを塗布し、この上に表面実装部品を位置決めし、セットしておく。そして基板ごと、高温の雰囲気を通過させることで、塗布したはんだを溶解し、部品と基板との電気的接続を行う方法。赤外線を用いて高温にする方式は、赤外線リフローとも呼ばれる。

裏面研磨

back grind
IC の前工程が完了したウェハの裏面を研磨して厚さ数十μ m 〜 200 μ m 程度に薄くすること。目的は、@ ICカードや積層チップのためパッケージ厚を薄くする、A基板電位を確保する、ためである。

量子効果デバイス

quantum effect device
半導体デバイスを微細化してデバイス構造を電子の波長(数10nm 程度)と同程度以下にすると、電子の波動性としての性質に起因する現象が起きる。これを利用したデバイス。
 → HEMT

量子コンピュータ

quantum computer
原子、電子、素粒子などを扱う「量子」は、粒子の性質と同時に波としての性質を合わせもつ(量子力学)。この性質を演算に利用したコンピュータ。“1”“0”のほか、これらを任意に重ね合わせることができる「量子ビット」(量子2 状態系、キュービット:Qbit)を基本構成とする。この量子ビットを複数個配列した構造(量子レジスタ)に、素因数分解などさまざまな演算をさせることで、高速の演算処理を行う。

ループ構造

loop architecture
反復処理を行う帰還回路を備えた回路構造。増幅回路において、入力信号の利得変化(ループ利得)を得るために用いられ、入力信号と出力信号の極性が同じか反対かによって、正帰還、負帰還と呼ばれる。

レイアウト設計

layout design
IC チップ上に各種の回路ブロックを配置・配線すること。
 → CAD、パターンレイアウト、ネットリスト、フロアプラン、物理設計

レイテンシ

laytency
一般にコンピュータシステム内、あるいはネットワークに接続されたデバイス同士が、データや信号を確実に受け渡しするために設けられる待ち合わせ時間。適切なレイテンシ時間が設定されていないと、データが正常に受け渡せない場合がある。

レジスタ

register
命令による演算に必要なデータを格納するCPUコアなどデバイス内の高速な記憶域。CPUコアでは、通常、レジスタは32または64ビット幅で16本〜32本程度ある。
 → CPUコア

レティクル

reticle
 ⇒ フォトマスク

レベンソン型位相シフトマスク

alternative phase-shifting mask
ラインパターンの一つおきに、位相を変えるシフタを配置したマスク。交互位相配置型(AAPSM:Alter Aperture Phase Shift Mask)ともいう。シフタ構造としては、マスク基板(石英)を掘り込んだものが多い。この溝(位相シフタ)を通過した光は、位相が180 度変わり、シフタのない部分を透過した光と位相を打ち消し合う。結果的に、ウェハ上でパターンエッジ部の光の強弱が明確になり、解像度が向上する。
 → 位相シフトマスク、光学近接効果補正、超解像、リソグラフィ、ハーフトーン型位相シフトマスク

露光

exposure
 ⇒ リソグラフィ

ロジックIC

logic integrated circuit
数値計算、論理演算、比較・判断などの各種処理をメインの機能としてもつIC の総称。論理ICともいう。
 → 論理回路

ロット

lot
製造工程で、プロセスステップの流れに沿って管理される単位。一般には同じ製造工程で作られる。

論理回路

logic circuit
“0”と“1”の2 つの値に対して、論理演算を行い、結果を出力する回路。論理回路には論理積演算を行うAND 回路、論理和演算を行うOR 回路、論理否定演算を行うNOT 回路の3 つの基本回路がある。演算装置内にある加算器などの演算回路はこの3 つの回路を組み合わせて作られている。
 → ロジックIC

論理合成

logic synthesis
ゲートレベルの論理設計を自動的に行う技術。ハードウェア記述言語(HDL)で表現したRTL 記述、真理値表、状態遷移記述、論理式などを入力すると、所望の半導体製造技術(プロセステクノロジ)の下で最適なゲートレベル論理回路(ネットリスト)を自動生成する。
 → HDL、RTL、ネットリスト

論理シミュレーション

logic simulation
設計者が意図した通りに論理回路が動作するかどうか、機能とタイミングを検証する方法。狭義には、ゲートレベルで構成された回路記述の検証を指す。広義には、さまざまな設計抽象度の高い設計データを用いたシステムレベルの検証も含まれる。

論理設計

logic design
IC 開発で、機能設計に基づいて具体的な論理回路レベルで実現するための設計のこと。EDAツールを多用した自動論理設計では、機能設計で得られたHDL(ハードウェア記述言語)やRTL(Register Transfer Level)の記述に基づいて、ゲート回路レベルの論理回路を設計する。論理システムを論理回路に変換する操作を論理合成ともいう。
 → EDA、論理合成

ワ行TOP
ワークメモリ

work memory
プログラムの実行や変数処理など、高速に動作して情報処理するためのメモリ。高速性、大容量化、動作し続ける耐性(実質的に無制限の書き換えが可能など)が求められる。代表的なメモリとしてDRAMが用いられている。

ワード線

word line
メモリICにおいて、格子状に配列されたメモリセルの中から書き込み/読み出しを行うセルを選択するための信号線。メモリセルトランジスタのゲート電極に接続されている。
 → ビット線

ワイヤボンディング

wire bonding
IC チップ表面のボンディングパッドとパッケージのリードを金線などで電気的に接続すること。

ワンセグ

1 segment
携帯電話などの移動通信機器向けに配信される地上デジタル放送のこと。地上デジタル放送では、一つのチャネルが13のセグメントに分割されており、そのうちの1セグメントを用いることからワンセグと呼ばれる。

半導体模倣品に対する注意のお願い F-GHG測定・管理ガイドライン DFM(design for manufacturability:製造性考慮設計)用語集 DFM(design for manufacturability:製造性考慮設計)用語集 DFM(design for manufacturability:製造性考慮設計)用語集 新規追加版 よくわかる半導体 半導体の社会貢献 半導体ミニ辞典 半導体の大冒険 BCMへの取り組み